OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [sim/] [tests/] [tb_1_tile_4_outputs/] [tb_1_tile_4_outputs.sv] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module tb_top();
30
 
31
  // --------------------------------------------------------------------
32
  // test bench clock & reset
33
  wire clk_200mhz;
34
  wire tb_clk   = clk_200mhz;
35
  wire tb_rst;
36
  wire aclk     = tb_clk;
37
  wire aresetn  = ~tb_rst;
38
 
39
  tb_base #( .PERIOD(5_000) ) tb( clk_200mhz, tb_rst );
40
 
41
 
42
  // --------------------------------------------------------------------
43
  //
44
  import avf_1_tile_4_outputs_class_pkg::*;
45
  avf_1_tile_4_outputs_class a_h;
46
 
47
  axis_if #(.N(AVF_N), .U(AVF_U)) avf_axis[TILES](.*);
48
 
49
  initial
50
    a_h = new(.avf_axis_in_if(avf_axis), .avf_axis_out_if(avf_axis));
51
 
52
 
53
  // --------------------------------------------------------------------
54
  // sim models
55
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
56
  // \|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/
57
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
58
 
59
  // --------------------------------------------------------------------
60
  //
61
 
62
 
63
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
64
  // /|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\
65
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
66
  // sim models
67
  // --------------------------------------------------------------------
68
 
69
 
70
 
71
  // --------------------------------------------------------------------
72
  // test
73
  the_test test( tb_clk, tb_rst );
74
 
75
  initial
76
    begin
77
 
78
      test.run_the_test();
79
 
80
      $display("^^^---------------------------------");
81
      $display("^^^ %16.t | Testbench done.", $time);
82
      $display("^^^---------------------------------");
83
 
84
      $display("^^^---------------------------------");
85
 
86
      $stop();
87
 
88
    end
89
 
90
endmodule
91
 
92
 
93
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.