OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [axis_video_frame/] [legacy/] [avf_agent.sv] - Blame information for rev 46

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 45 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
import axis_video_frame_bfm_pkg::*;
30
 
31
module
32
  avf_agent
33
  #(
34
    BYTES_PER_PIXEL     = 2,
35
    AVF_OUTPUTS         = 4,
36
    AVF_TILES           = 1,
37
    AVF_WIDTH           = 32,
38
    AVF_HEIGHT          = 16,
39
    AVF_BITS_PER_PIXEL  = 16
40
  )
41
  (
42
    axis_if axis_out[AVF_TILES],
43
    axis_if axis_in[AVF_TILES]
44
  );
45
 
46
  // --------------------------------------------------------------------
47
  //
48
  axis_video_frame_bfm_class #(BYTES_PER_PIXEL, AVF_OUTPUTS) f_tx_h[AVF_TILES];
49
 
50
  for(genvar j = 0; j < AVF_TILES; j++)
51
    initial
52
    begin
53
      f_tx_h[j] = new(axis_out[j]);
54
 
55
      f_tx_h[j].init
56
      (
57
        .avf_width(AVF_WIDTH),
58
        .avf_height(AVF_HEIGHT),
59
        .avf_bits_per_pixel(AVF_BITS_PER_PIXEL),
60
        .avf_name($psprintf("AVF_%0d", j)),
61
        .avf_type("TX")
62
      );
63
    end
64
 
65
 
66
  // --------------------------------------------------------------------
67
  //
68
  axis_video_frame_bfm_class #(BYTES_PER_PIXEL, AVF_OUTPUTS) f_rx_h[AVF_TILES];
69
 
70
  for(genvar j = 0; j < AVF_TILES; j++)
71
    initial
72
    begin
73
      f_rx_h[j] = new(axis_in[j]);
74
 
75
      f_rx_h[j].init
76
      (
77
        .avf_width(AVF_WIDTH),
78
        .avf_height(AVF_HEIGHT),
79
        .avf_bits_per_pixel(AVF_BITS_PER_PIXEL),
80
        .avf_name($psprintf("AVF_%0d", j)),
81
        .avf_type("RX")
82
      );
83
    end
84
 
85
 
86
  // --------------------------------------------------------------------
87
  //
88
  avf_tx #(BYTES_PER_PIXEL, AVF_OUTPUTS, AVF_TILES)
89
    avf_tx_bfm(.*);
90
 
91
 
92
  // --------------------------------------------------------------------
93
  //
94
  avf_rx #(BYTES_PER_PIXEL, AVF_OUTPUTS, AVF_TILES)
95
    avf_rx_bfm(.*);
96
 
97
 
98
  // --------------------------------------------------------------------
99
  //
100
 
101
endmodule
102
 
103
 
104
 
105
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.