OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [src/] [tb_riffa_debug/] [vs_top_base.svh] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
// --------------------------------------------------------------------
2
class vs_top_base
3
  extends uvm_sequence #(uvm_sequence_item);
4
  `uvm_object_utils(vs_top_base)
5
 
6
  // --------------------------------------------------------------------
7
  riffa_rp_tx_sequencer tx_h;
8
  riffa_rp_rx_sequencer rx_h;
9
  s_riffa_rp_rx_api rx_api_h;
10
  s_riffa_rp_tx_api tx_api_h;
11
 
12
  // --------------------------------------------------------------------
13
  task init(riffa_env #(N) env_h);
14
    this.tx_h = env_h.agent_h.rp_tx_sequencer_h;
15
    this.rx_h = env_h.agent_h.rp_rx_sequencer_h;
16
    this.rx_api_h = s_riffa_rp_rx_api::type_id::create("rx_api_h");
17
    this.tx_api_h = s_riffa_rp_tx_api::type_id::create("tx_api_h");
18
  endtask: init
19
 
20
  // --------------------------------------------------------------------
21
  function new(string name = "vs_top_base");
22
    super.new(name);
23
  endfunction
24
 
25
// --------------------------------------------------------------------
26
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.