OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_axis_test_pattern/] [the_test.sv] - Blame information for rev 34

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
`timescale 1ps/1ps
29
 
30
 
31
module the_test(input tb_clk, input tb_rst);
32
 
33
  // --------------------------------------------------------------------
34
  //
35
  import tb_riffa_axis_test_pattern_pkg::*;
36
  import riffa_agent_class_pkg::*;
37
  import riffa_bfm_class_pkg::*;
38
 
39
 
40
  // --------------------------------------------------------------------
41
  //
42
  task run_the_test;
43
 
44
    // --------------------------------------------------------------------
45
    // insert test below
46
    // --------------------------------------------------------------------
47
    $display("^^^---------------------------------");
48
    $display("^^^ %16.t | Testbench begun.\n", $time);
49
    $display("^^^---------------------------------");
50
    // --------------------------------------------------------------------
51
 
52
    // --------------------------------------------------------------------
53
    tb_top.tb.timeout_stop(500us);
54
 
55
    // --------------------------------------------------------------------
56
    wait(~tb_rst);
57
 
58
    // --------------------------------------------------------------------
59
    #200ns;
60
 
61
    // --------------------------------------------------------------------
62
    tb_top.a_h.queue_rx(TX_L, 0, 1);
63
    tb_top.a_h.wait_for_rx();
64
 
65
    // --------------------------------------------------------------------
66
    #1us;
67
 
68
    // --------------------------------------------------------------------
69
    tb_top.a_h.queue_rx(TX_L, 0, 1);
70
    tb_top.a_h.wait_for_rx();
71
 
72
    // --------------------------------------------------------------------
73
    #1us;
74
 
75
    // --------------------------------------------------------------------
76
    tb_top.a_h.queue_rx(TX_L, 0, 1);
77
    tb_top.a_h.wait_for_rx();
78
 
79
    // --------------------------------------------------------------------
80
    #1us;
81
 
82
    // // --------------------------------------------------------------------
83
    // $display("^^^ %16.t | q.num() = %d", $time, tb_top.a_h.q.num());
84
 
85
    // --------------------------------------------------------------------
86
    // insert test above
87
    // --------------------------------------------------------------------
88
 
89
  endtask
90
 
91
 
92
endmodule
93
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.