OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_register_file/] [files.f] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
#
2
 
3
# ${PROJECT_DIR}/sim/src/riffa_bfm_class_pkg.sv
4
# ${PROJECT_DIR}/sim/src/riffa_agent_class_pkg.sv
5
 
6
${PROJECT_DIR}/src/RIFFA/riffa_chnl_if.sv
7
${PROJECT_DIR}/src/RIFFA/riffa_register_if.sv
8
 
9
${PROJECT_DIR}/src/RIFFA/riffa_chnl_tx_fsm.sv
10
${PROJECT_DIR}/src/RIFFA/riffa_chnl_tx.sv
11
${PROJECT_DIR}/src/RIFFA/riffa_chnl_rx_fsm.sv
12
${PROJECT_DIR}/src/RIFFA/riffa_chnl_rx.sv
13
${PROJECT_DIR}/src/RIFFA/riffa_register_file.sv
14
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.