OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [tests/] [tb_riffa_register_file/] [sim.do] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 qaztronic
#
2
#
3
 
4
quit -sim
5
 
6 50 qaztronic
# vsim -suppress 12110 -novopt work.tb_top
7
vsim -f ./sim.f work.tb_top
8 32 qaztronic
 
9
# log all signals
10 50 qaztronic
log /* -r

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.