OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [axis_to_riffa_tx.sv] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  axis_to_riffa_tx
31
  #(
32
    N // RIFFA data bus width in bytes
33
  )
34
  (
35
    axis_if       axis_in,
36
    riffa_chnl_if chnl_bus,
37
    input [31:0]  tx_len,
38
    input [30:0]  tx_off,
39
    input         tx_ready,
40
    input         tx_last,
41
    input         clk,
42
    input         reset
43
  );
44
 
45
  // --------------------------------------------------------------------
46
  //
47
  localparam RW = (N/4); // width of the RIFFA bus in 32 bit words
48
 
49
 
50
  // --------------------------------------------------------------------
51
  //
52
  wire acked;
53
  wire [30:0] tx_index;
54
  wire tx_done = (tx_index >= tx_len - RW) & tx_ready;
55
 
56
  riffa_chn_tx #(.N(N))
57
    riffa_chn_tx_i(.*);
58
 
59
 
60
  // --------------------------------------------------------------------
61
  //
62
  assign axis_in.tready         = chnl_bus.tx_data_ren & acked;
63
  assign chnl_bus.tx_clk        = clk;
64
  assign chnl_bus.tx_reset      = reset;
65
  assign chnl_bus.tx_last       = tx_last;
66
  assign chnl_bus.tx_len        = tx_len;
67
  assign chnl_bus.tx_off        = tx_off;
68
  assign chnl_bus.tx_data_valid = axis_in.tvalid & acked;
69
  assign chnl_bus.tx_data       = axis_in.tdata;
70
 
71
 
72
// --------------------------------------------------------------------
73
//
74
endmodule
75
 
76
 
77
 
78
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.