OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_chnl_tx.sv] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  riffa_chn_tx
30
  #(
31 34 qaztronic
    N // data bus width in bytes
32 32 qaztronic
  )
33
  (
34
    riffa_chnl_if chnl_in,
35
    input tx_ready,
36
    input tx_done,
37 34 qaztronic
    output acked,
38
    output reg [30:0] tx_index,
39 32 qaztronic
    input tx_last,
40
    input [31:0] tx_len,
41
    input [30:0] tx_off,
42
 
43
    input clk,
44
    input reset
45
  );
46
 
47
  // --------------------------------------------------------------------
48 34 qaztronic
  //
49 32 qaztronic
  riffa_chnl_tx_fsm
50
    riffa_chnl_tx_fsm_i
51
    (
52
      .tx(chnl_in.tx),
53
      .tx_ack(chnl_in.tx_ack),
54
      .*
55
    );
56
 
57
 
58
  // --------------------------------------------------------------------
59
  //
60
  always_ff @(posedge clk)
61 34 qaztronic
    if(reset | ~chnl_in.tx | tx_done)
62 32 qaztronic
      tx_index = 0;
63
    else if(chnl_in.tx_data_valid & chnl_in.tx_data_ren)
64 34 qaztronic
      tx_index <= tx_index + (N/4); // increment by 32 bit words
65 32 qaztronic
 
66
 
67
// --------------------------------------------------------------------
68
//
69
endmodule
70
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.