OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_register_file.sv] - Blame information for rev 39

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  riffa_register_file
30
  #(
31
    N, //  data bus width in bytes
32 35 qaztronic
    B // number of register banks
33 32 qaztronic
  )
34
  (
35 39 qaztronic
    riffa_chnl_if chnl_bus,
36 32 qaztronic
    riffa_register_if r_if,
37
    input clk,  // must be same clock domain as rx_clk & tx_clk
38
    input reset // must be same clock domain as rx_clk & tx_clk
39
  );
40
 
41
// --------------------------------------------------------------------
42
// synthesis translate_off
43
    initial
44 35 qaztronic
    begin
45 32 qaztronic
      a_data_bus_mod: assert(N % 4 == 0) else $fatal;
46 35 qaztronic
      a_data_bus_power_of_2: assert((N != 0) & ((N & (N - 1)) == 0)) else $fatal;
47
    end
48 32 qaztronic
// synthesis translate_on
49
// --------------------------------------------------------------------
50
 
51
 
52
  // --------------------------------------------------------------------
53
  //
54
  localparam RW = (N/4); // width of the bus in 32 bit words
55 35 qaztronic
  localparam RC = RW * B; // number of available registers
56 32 qaztronic
 
57
 
58
  // --------------------------------------------------------------------
59
  //
60 35 qaztronic
  wire rx_ready = ~reset;
61 32 qaztronic
  wire rx_done;
62 35 qaztronic
  wire [30:0] rx_index;
63 32 qaztronic
  wire rx_last;
64
  wire [31:0] rx_len;
65 35 qaztronic
  wire [30:0] rx_off; // offset ignored, always start from offset 0
66
  // wire rx_data_ren;
67 32 qaztronic
  wire rd_empty;
68
  wire [(8*N)-1:0] rd_data;
69
  wire rd_en;
70
 
71
  riffa_chn_rx #(.N(N))
72 39 qaztronic
    riffa_chn_rx_i(.chnl_bus(chnl_bus), .*);
73 32 qaztronic
 
74
 
75
  // --------------------------------------------------------------------
76
  //
77 35 qaztronic
  wire register_select [RC-1:0];
78
  genvar j, k;
79 32 qaztronic
 
80
  generate
81 35 qaztronic
    for(j = 0; j < B; j = j + 1)
82
    begin: register_j_gen
83
      for(k = 0; k < RW; k = k + 1)
84
      begin: register_k_gen
85 37 qaztronic
        assign register_select[(j*RW) + k]  = (rx_index[30:$clog2(RW)] == j);
86
        assign r_if.wr_en[(j*RW) + k]       = rd_en & register_select[(j*RW) + k];
87 32 qaztronic
 
88 35 qaztronic
        always_ff @(posedge clk)
89
          if(reset)
90
            r_if.register_out[(j*RW) + k] <= 0;
91 37 qaztronic
          else if(r_if.wr_en[(j*RW) + k])
92 35 qaztronic
            r_if.register_out[(j*RW) + k] <= rd_data[k*32 +: 32];
93
      end
94 32 qaztronic
    end
95
  endgenerate
96
 
97
 
98
  // --------------------------------------------------------------------
99
  //
100 39 qaztronic
  // assign chnl_bus.rx_data_ren = rx_data_ren;
101 32 qaztronic
  assign rd_en = ~rd_empty;
102
 
103
 
104
  // --------------------------------------------------------------------
105
  //
106 37 qaztronic
  // write to register[0][0] to enable reading
107 39 qaztronic
  wire tx_ready = r_if.wr_en[0] & rd_data[0];
108 32 qaztronic
  wire tx_last = 1;
109 35 qaztronic
  wire acked;
110
  wire [31:0] tx_len = RC;
111 32 qaztronic
  wire [30:0] tx_off = 0;
112 35 qaztronic
  wire [30:0] tx_index;
113 39 qaztronic
  wire tx_done = (tx_index >= chnl_bus.tx_len - RW);
114 32 qaztronic
 
115
  riffa_chn_tx #(.N(N))
116
    riffa_chn_tx_i(.*);
117
 
118
 
119
  // --------------------------------------------------------------------
120
  //
121 35 qaztronic
  wire [(N*8)-1:0] data_in [(2 ** $clog2(B))-1:0];
122
 
123
  generate
124
    for(j = 0; j < B; j = j + 1)
125
    begin: data_in_j_gen
126
      for(k = 0; k < RW; k = k + 1)
127
      begin: data_in_k_gen
128
        assign data_in[j][k*32 +: 32] = r_if.register_out[(j*RW) + k];
129
      end
130
    end
131
  endgenerate
132
 
133
 
134
  // --------------------------------------------------------------------
135
  //
136
  recursive_mux #(.A($clog2(B)), .W(N*8))
137 32 qaztronic
    recursive_mux_i
138
    (
139 35 qaztronic
      .select(tx_index[$clog2(B) + $clog2(RW) - 1:$clog2(RW)]),
140 39 qaztronic
      .data_out(chnl_bus.tx_data),
141 35 qaztronic
      .*
142 32 qaztronic
    );
143
 
144
 
145
  // --------------------------------------------------------------------
146
  //
147 39 qaztronic
  assign chnl_bus.rx_clk = clk;
148
  assign chnl_bus.tx_clk = clk;
149
  assign chnl_bus.rx_reset = reset;
150
  assign chnl_bus.tx_reset = reset;
151
  assign chnl_bus.tx_last = 1;
152
  assign chnl_bus.tx_len = RC;
153
  assign chnl_bus.tx_off = 0;
154
  assign chnl_bus.tx_data_valid = acked;
155 32 qaztronic
 
156
 
157
// --------------------------------------------------------------------
158
//
159
endmodule
160
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.