OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [syn/] [a10gx_riffa/] [a10gx_riffa_top.sv] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module
29
  a10gx_riffa_top
30
  #(// Number of RIFFA Channels
31
    C_NUM_CHNL = 1,
32
    // Number of PCIe Lanes
33
    C_NUM_LANES =  8,
34
    // Settings from Quartus IP Library
35
    C_PCI_DATA_WIDTH = 128,
36
    C_MAX_PAYLOAD_BYTES = 256,
37
    C_LOG_NUM_TAGS = 5,
38
    C_FPGA_ID = 8'hab
39
    )
40
  (
41
    input          clk_50,             //1.8V - 50MHz
42
 
43
    input          cpu_resetn,         //1.8V    //CPU Reset Pushbutton (TR=0)
44
    output [ 7:0]  user_led_g,         //1.8V    //User LEDs
45
    output [ 7:0]  user_led_r,         //1.8V    //User LEDs
46
    input  [ 2:0]  user_pb,            //1.8V    //User Pushbuttons (TR=0)
47
 
48
    input  [ 7:0]  pcie_rx_p,          //PCML14  //PCIe Receive Data-req's OCT
49
    output [ 7:0]  pcie_tx_p,          //PCML14  //PCIe Transmit Data
50
    input          pcie_edge_refclk_p, //HCSL    //PCIe Clock- Terminate on MB
51
    input          pcie_perstn         //1.8V    //PCIe Reset
52
  );
53
 
54
  // --------------------------------------------------------------------
55
  localparam R_N = (C_PCI_DATA_WIDTH / 8); // width of the RIFFA bus in bytes
56
  localparam RR_B = 4; // number of available registers
57
  localparam I = 0; // TID width
58
  localparam D = 0; // TDEST width
59
  localparam U = 3; // TUSER width
60
 
61
  // --------------------------------------------------------------------
62
  wire sys_aresetn;
63
  wire chnl_clk;
64
  wire chnl_reset;
65
  wire chnl_reset_s;
66
  wire clk = chnl_clk;
67
  wire reset = chnl_reset_s;
68
  wire aclk = chnl_clk;
69
  wire aresetn = ~chnl_reset_s;
70
 
71
  sync_reset
72
    sync_reset_i
73
    (
74
      .clk_in(chnl_clk),
75
      .async_reset_in(chnl_reset),
76
      .sync_reset_out(chnl_reset_s)
77
    );
78
 
79
  // --------------------------------------------------------------------
80
  riffa_chnl_if #(.N(R_N)) chnl_bus[C_NUM_CHNL]();
81
  riffa_register_if #(.N(R_N), .B(RR_B)) r_if(.*);
82
 
83
  // --------------------------------------------------------------------
84
  wire npor;
85
 
86
  a10gx_riffa
87
    #(// Number of RIFFA Channels
88
      .C_NUM_CHNL(C_NUM_CHNL),
89
      // Number of PCIe Lanes
90
      .C_NUM_LANES(C_NUM_LANES),
91
      // Settings from Quartus IP Library
92
      .C_PCI_DATA_WIDTH(C_PCI_DATA_WIDTH),
93
      .C_MAX_PAYLOAD_BYTES(C_MAX_PAYLOAD_BYTES),
94
      .C_LOG_NUM_TAGS(C_LOG_NUM_TAGS),
95
      .C_FPGA_ID(C_FPGA_ID)
96
    )
97
    a10gx_riffa_i(.*);
98
 
99
  // --------------------------------------------------------------------
100
  a10gx_sys #(.C_PCI_DATA_WIDTH(C_PCI_DATA_WIDTH), .B(RR_B))
101
    a10gx_sys_i(.chnl_bus(chnl_bus[0]), .*);
102
 
103
// --------------------------------------------------------------------
104
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.