OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [avalon_lib/] [sim/] [tests/] [tb_ast_monitor/] [tb_ast_monitor.sv] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module tb_top();
30
 
31
  // --------------------------------------------------------------------
32
  // test bench clock & reset
33
  wire clk_100mhz;
34
  wire tb_clk = clk_100mhz;
35
  wire tb_rst;
36
 
37
  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
38
 
39
 
40
  // --------------------------------------------------------------------
41
  //
42
  wire tb_rst_s;
43
  wire clk    = tb_clk;
44
  wire reset  = tb_rst_s;
45
 
46
  sync_reset sync_reset_i(tb_clk, tb_rst, tb_rst_s);
47
 
48
 
49
  // --------------------------------------------------------------------
50
  //
51
  import tb_ast_monitor_pkg::*;
52
 
53
 
54
  // --------------------------------------------------------------------
55
  //
56
  ast_if #(EW, CW, SW, NSW) src(.*);
57
  ast_if #(EW, CW, SW, NSW) sink(.*);
58
 
59
 
60
  // --------------------------------------------------------------------
61
  //
62
 
63
 
64
 
65
 
66
  // --------------------------------------------------------------------
67
  // sim models
68
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
69
  // \|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/
70
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
71
 
72
 
73
  // --------------------------------------------------------------------
74
  //
75
  ast_monitor
76
    #(
77
      .ST_SYMBOL_W(ST_SYMBOL_W),
78
      .ST_NUMSYMBOLS(ST_NUMSYMBOLS),
79
      .USE_PACKET(USE_PACKET),
80
      .ST_READY_LATENCY(ST_READY_LATENCY),
81
      .USE_CHANNEL(USE_CHANNEL),
82
      .USE_ERROR(USE_ERROR),
83
      .USE_READY(USE_READY),
84
      .USE_VALID(USE_VALID),
85
      .USE_EMPTY(USE_EMPTY),
86
      .ST_ERROR_W(ST_ERROR_W),
87
      .ST_MAX_PACKET_SIZE(ST_MAX_PACKET_SIZE),
88
      .ST_MAX_CHANNELS(ST_MAX_CHANNELS)
89
    )
90
    ast_monitor_i(.sink(src), .src(sink), .*);
91
 
92
 
93
  // --------------------------------------------------------------------
94
  //
95
  ast_source
96
    #(
97
      .ST_SYMBOL_W(ST_SYMBOL_W),
98
      .ST_NUMSYMBOLS(ST_NUMSYMBOLS),
99
      .USE_PACKET(USE_PACKET),
100
      .ST_READY_LATENCY(ST_READY_LATENCY),
101
      .USE_CHANNEL(USE_CHANNEL),
102
      .USE_ERROR(USE_ERROR),
103
      .USE_READY(USE_READY),
104
      .USE_VALID(USE_VALID),
105
      .USE_EMPTY(USE_EMPTY),
106
      .ST_ERROR_W(ST_ERROR_W),
107
      .ST_MAX_CHANNELS(ST_MAX_CHANNELS)
108
    )
109
    ast_source_i(.*);
110
 
111
 
112
  // --------------------------------------------------------------------
113
  //
114
  ast_sink
115
    #(
116
      .ST_SYMBOL_W(ST_SYMBOL_W),
117
      .ST_NUMSYMBOLS(ST_NUMSYMBOLS),
118
      .USE_PACKET(USE_PACKET),
119
      .ST_READY_LATENCY(ST_READY_LATENCY),
120
      .USE_CHANNEL(USE_CHANNEL),
121
      .USE_ERROR(USE_ERROR),
122
      .USE_READY(USE_READY),
123
      .USE_VALID(USE_VALID),
124
      .USE_EMPTY(USE_EMPTY),
125
      .ST_ERROR_W(ST_ERROR_W),
126
      .ST_MAX_CHANNELS(ST_MAX_CHANNELS)
127
    )
128
    ast_sink_i(.*);
129
 
130
 
131
 
132
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
133
  // /|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\
134
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
135
  // sim models
136
  // --------------------------------------------------------------------
137
 
138
 
139
 
140
  // --------------------------------------------------------------------
141
  // test
142
  the_test test( tb_clk, tb_rst );
143
 
144
  initial
145
    begin
146
 
147
      test.run_the_test();
148
 
149
      $display("^^^---------------------------------");
150
      $display("^^^ %16.t | Testbench done.", $time);
151
      $display("^^^---------------------------------");
152
 
153
      $display("^^^---------------------------------");
154
 
155
      $stop();
156
 
157
    end
158
 
159
endmodule
160
 
161
 
162
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.