OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lib/] [sim/] [src/] [legacy/] [tb_axi4_memory.sv] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module tb_top();
30
 
31
  // --------------------------------------------------------------------
32
  // test bench clock & reset
33
  wire clk_100mhz;
34
  wire tb_clk = clk_100mhz;
35
  wire tb_rst;
36
  wire aclk = tb_clk;
37
  wire aresetn = ~tb_rst;
38
 
39
  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
40
 
41
 
42
  // --------------------------------------------------------------------
43
  //
44
  localparam A = 32;
45
  localparam N = 8;
46
 
47
 
48
  // --------------------------------------------------------------------
49
  //
50
  axi4_if #(.A(A), .N(N))
51
    axi4_s(.*);
52
 
53
 
54
  // --------------------------------------------------------------------
55
  //
56
 
57
 
58
  // --------------------------------------------------------------------
59
  // sim models
60
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
61
  // \|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/
62
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
63
 
64
  // --------------------------------------------------------------------
65
  //
66
  axi4_checker #(.A(A), .N(N))
67
    axi4_s_check(.axi4_in(axi4_s));
68
 
69
 
70
  // // --------------------------------------------------------------------
71
  // //
72
  // axi4_master_bfm_if #(.A(A), .N(N))
73
    // tb_axi4_m(.axi4_s(axi4_s), .*);
74
 
75
 
76
  // --------------------------------------------------------------------
77
  //
78
  import axi4_bfm_pkg::*;
79
 
80
  axi4_master_bfm_class bfm;
81
 
82
  initial
83
    bfm = new(axi4_s);
84
 
85
 
86
  // --------------------------------------------------------------------
87
  //
88
  import axi4_memory_pkg::*;
89
 
90
  axi4_memory_class axi4_memory;
91
 
92
  initial
93
    axi4_memory = new(axi4_s);
94
 
95
 
96
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
97
  // /|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\
98
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
99
  // sim models
100
  // --------------------------------------------------------------------
101
 
102
 
103
  // --------------------------------------------------------------------
104
  //  debug wires
105
 
106
 
107
  // --------------------------------------------------------------------
108
  // test
109
  the_test test( tb_clk, tb_rst );
110
 
111
  initial
112
    begin
113
 
114
      test.run_the_test();
115
 
116
      $display("^^^---------------------------------");
117
      $display("^^^ %16.t | Testbench done.", $time);
118
      $display("^^^---------------------------------");
119
 
120
      $display("^^^---------------------------------");
121
 
122
      $stop();
123
 
124
    end
125
 
126
endmodule
127
 
128
 
129
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.