OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_lite_lib/] [sim/] [src/] [tb_axi4_lite_register_file.sv] - Blame information for rev 43

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 29 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module tb_top();
30
 
31
  // --------------------------------------------------------------------
32
  // test bench clock & reset
33
  wire clk_100mhz;
34
  wire tb_clk = clk_100mhz;
35
  wire tb_rst;
36
  wire aclk = tb_clk;
37
  wire aresetn = ~tb_rst;
38
 
39
  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
40
 
41
 
42
  // --------------------------------------------------------------------
43
  //
44
  localparam A  = 32;
45
  localparam N  = 4;
46
  localparam MW = 3;  //  mux select width
47
 
48
 
49
  // --------------------------------------------------------------------
50
  //
51
  axi4_if #(.A(A), .N(N))
52
    axi4_s(.*);
53
 
54
 
55
  // --------------------------------------------------------------------
56
  //
57
  axi4_lite_register_if #(.N(N), .MW(MW))
58
    r_if(.*);
59
 
60
  assign r_if.register_in = r_if.register_out;
61
 
62
 
63
  // --------------------------------------------------------------------
64
  //
65
  axi4_lite_register_file #(.A(A), .N(N))
66
    dut(.*);
67
 
68
 
69
  // --------------------------------------------------------------------
70
  // sim models
71
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
72
  // \|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/-\|/
73
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
74
 
75
  // --------------------------------------------------------------------
76
  //
77 43 qaztronic
  // axi4_checker #(.A(A), .N(N), .PROTOCOL(2'b10))
78
  axi4_checker #(.A(A), .N(N))
79 29 qaztronic
    axi4_s_check(.axi4_in(axi4_s));
80
 
81
 
82
  // --------------------------------------------------------------------
83
  //
84
  import axi4_lite_agent_pkg::*;
85
 
86
 
87
  // --------------------------------------------------------------------
88
  //
89
  axi4_master_bfm_if #(.A(A), .N(N))
90
    tb_axi4_m(.axi4_s(axi4_s), .*);
91
 
92
 
93
  // --------------------------------------------------------------------
94
  //
95
  axi4_lite_agent_class #(.A(A), .N(N), .MW(MW)) bfm;
96
 
97
  initial
98
    bfm = new(tb_axi4_m, r_if);
99
 
100
 
101
  //  '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '   '
102
  // /|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\-/|\
103
  //  |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |   |
104
  // sim models
105
  // --------------------------------------------------------------------
106
 
107
 
108
  // --------------------------------------------------------------------
109
  //  debug wires
110
 
111
 
112
  // --------------------------------------------------------------------
113
  // test
114
  the_test test( tb_clk, tb_rst );
115
 
116
  initial
117
    begin
118
 
119
      test.run_the_test();
120
 
121
      $display("^^^---------------------------------");
122
      $display("^^^ %16.t | Testbench done.", $time);
123
      $display("^^^---------------------------------");
124
 
125
      $display("^^^---------------------------------");
126
 
127
      $stop();
128
 
129
    end
130
 
131
endmodule
132
 
133
 
134
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.