OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [sim/] [tests/] [legacy/] [tb_axis_upsizer/] [the_test.sv] - Blame information for rev 50

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 50 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
`timescale 1ps/1ps
29
 
30
 
31
module
32
  the_test(
33
            input tb_clk,
34
            input tb_rst
35
          );
36
 
37
  // --------------------------------------------------------------------
38
  //
39
  int mismatch_count = 0;
40
 
41
 
42
  // --------------------------------------------------------------------
43
  //
44
  task run_the_test;
45
 
46
    // --------------------------------------------------------------------
47
    // insert test below
48
    // --------------------------------------------------------------------
49
    $display("^^^---------------------------------");
50
    $display("^^^ %16.t | Testbench begun.\n", $time);
51
    $display("^^^---------------------------------");
52
 
53
    // --------------------------------------------------------------------
54
    tb_top.tb.timeout_stop(50us);
55
 
56
 
57
    // --------------------------------------------------------------------
58
    wait(tb_top.aresetn);
59
    #1us;
60
 
61
    // --------------------------------------------------------------------
62
    repeat(3) tb_top.a_h.queue_frame("counting");
63
    repeat(3) tb_top.a_h.compare_frame();
64
 
65
    // --------------------------------------------------------------------
66
    tb_top.a_h.tx_h.make_frame("constant", 16'habba);
67
    tb_top.a_h.queue_frame();
68
    tb_top.a_h.compare_frame();
69
 
70
    tb_top.a_h.queue_frame("random");
71
    tb_top.a_h.compare_frame();
72
 
73
    tb_top.a_h.queue_frame("constant", 16'hbeef);
74
    tb_top.a_h.compare_frame();
75
 
76
    tb_top.a_h.queue_frame("random");
77
    tb_top.a_h.rx_h.wait_for_rx_frames(1);
78
    tb_top.a_h.compare_frame();
79
 
80
    tb_top.a_h.queue_frame("counting");
81
    tb_top.a_h.compare_frame();
82
 
83
    repeat(3) tb_top.a_h.queue_frame("random");
84
    repeat(3) tb_top.a_h.compare_frame();
85
 
86
    // --------------------------------------------------------------------
87
    #1us;
88
    // #6us;
89
 
90
    // --------------------------------------------------------------------
91
    // insert test above
92
    // --------------------------------------------------------------------
93
 
94
  endtask
95
 
96
 
97
endmodule
98
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.