OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [axis_interleave.sv] - Blame information for rev 41

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  axis_interleave
31
  #(
32
    N, // data bus width in bytes
33
    I = 1, // TID width
34
    D = 1, // TDEST width
35
    U = 1  // TUSER width
36
  )
37
  (
38
    axis_if axis_in [1:0],
39
    axis_if axis_out,
40
    input   aclk,
41
    input   aresetn
42
  );
43
 
44
  // --------------------------------------------------------------------
45
  //  state machine binary definitions
46
  enum reg [1:0]
47
    {
48
      EVEN  = 2'b01,
49
      ODD   = 2'b10
50
    } state, next_state;
51
 
52
 
53
  // --------------------------------------------------------------------
54
  //  state machine flop
55
  always_ff @(posedge aclk)
56
    if(~aresetn)
57
      state <= EVEN;
58
    else
59
      state <= next_state;
60
 
61
 
62
  // --------------------------------------------------------------------
63
  //  state machine
64
  always_comb
65
    case(state)
66
      EVEN:     if(axis_in[0].tvalid)
67
                  next_state <= ODD;
68
                else
69
                  next_state <= EVEN;
70
 
71
      ODD:      if(axis_in[1].tvalid)
72
                  next_state <= EVEN;
73
                else
74
                  next_state <= ODD;
75
 
76
      default:  next_state <= EVEN;
77
    endcase
78
 
79
 
80
  // --------------------------------------------------------------------
81
  //
82
  wire select = (state == EVEN) ? 0 : 1;
83
 
84
  axis_mux #(.N(N), .I(I), .D(D), .U(U))
85
    axis_mux_i(.*);
86
 
87
 
88
// --------------------------------------------------------------------
89
//
90
endmodule
91
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.