OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [recursive_axis_catenate.sv] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 36 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2017 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  recursive_axis_catenate
31
  #(
32
    N, // data bus width in bytes
33
    I = 1, // TID width
34
    D = 1, // TDEST width
35
    U = 1, // TUSER width
36
    U_IS_EOP = -1,
37
    MA, // mux select width
38
    MD = 2 ** MA
39
  )
40
  (
41
    axis_if         axis_in [MD-1:0],
42
    axis_if         axis_out,
43
    input           aclk,
44
    input           aresetn
45
  );
46
 
47
  // --------------------------------------------------------------------
48
  //
49
  generate
50
    if(MA == 1)
51
    begin: catenate_gen
52
      axis_catenate #(.N(N), .I(I), .D(D), .U(U), .U_IS_EOP(U_IS_EOP))
53
        axis_catenate_i(.*);
54
    end
55
    else
56
    begin: recursive_catenate_gen
57
      // --------------------------------------------------------------------
58
      //
59
      axis_if #(.N(N), .I(I), .D(D), .U(U)) axis_catenate_out[1:0](.*);
60
 
61
      recursive_axis_catenate
62
        #(
63
          .N(N),
64
          .I(I),
65
          .D(D),
66
          .U(U),
67
          .U_IS_EOP(U_IS_EOP),
68
          .MA(MA - 1)
69
        )
70
        catenate_lo
71
        (
72
          .axis_in(axis_in[(MD/2)-1:0]),
73
          .axis_out(axis_catenate_out[0]),
74
          .*
75
        );
76
 
77
      // --------------------------------------------------------------------
78
      //
79
      recursive_axis_catenate
80
        #(
81
          .N(N),
82
          .I(I),
83
          .D(D),
84
          .U(U),
85
          .U_IS_EOP(U_IS_EOP),
86
          .MA(MA - 1)
87
        )
88
        catenate_hi
89
        (
90
          .axis_in(axis_in[MD-1:(MD/2)]),
91
          .axis_out(axis_catenate_out[1]),
92
          .*
93
        );
94
 
95
      // --------------------------------------------------------------------
96
      //
97
      axis_catenate
98
        #(
99
          .N(N),
100
          .I(I),
101
          .D(D),
102
          .U(U),
103
          .U_IS_EOP(U_IS_EOP)
104
        )
105
        axis_catenate_i(.axis_in(axis_catenate_out), .*);
106
    end
107
  endgenerate
108
 
109
 
110
// --------------------------------------------------------------------
111
//
112
endmodule
113
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.