OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [fifo_monitor.svh] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class fifo_monitor extends uvm_component;
29
  `uvm_component_utils(fifo_monitor);
30
 
31
  virtual fifo_if #(.W(W), .D(D)) vif;
32
  uvm_analysis_port #(fifo_sequence_item) ap;
33
 
34
  // --------------------------------------------------------------------
35
  function new (string name, uvm_component parent);
36
    super.new(name,parent);
37
  endfunction
38
 
39
  // --------------------------------------------------------------------
40
  function void build_phase(uvm_phase phase);
41
    ap = new("ap", this);
42
  endfunction : build_phase
43
 
44
  // --------------------------------------------------------------------
45
  task run_phase(uvm_phase phase);
46
    fifo_sequence_item item;
47
    fifo_sequence_item c_item;
48
    item = fifo_sequence_item::type_id::create("item");
49
 
50
    forever @(vif.cb iff vif.cb.reset === 0)
51
      if(vif.cb.wr_en || vif.cb.rd_en)
52
      begin
53
        $cast(c_item, item.clone);
54
        c_item.wr_full = vif.cb.wr_full;
55
        c_item.wr_data = vif.cb.wr_data;
56
        c_item.rd_empty = vif.cb.rd_empty;
57
        c_item.rd_data = vif.cb.rd_data;
58
        c_item.count = vif.cb.count;
59
 
60
        if(vif.cb.wr_en && vif.cb.rd_en)
61
          c_item.command = FIFO_BOTH;
62
        else if(vif.cb.wr_en)
63
          c_item.command = FIFO_WR;
64
        else if(vif.cb.rd_en)
65
          c_item.command = FIFO_RD;
66
 
67
        ap.write(c_item);
68
      end
69
  endtask : run_phase
70
 
71
// --------------------------------------------------------------------
72
endclass : fifo_monitor

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.