OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [t_debug.svh] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class t_debug extends t_top_base;
29
   `uvm_component_utils(t_debug)
30
 
31
  // --------------------------------------------------------------------
32
  tb_dut_config #(.W(W), .D(D)) cfg_h;
33
 
34
  // --------------------------------------------------------------------
35
  function new(string name = "t_debug", uvm_component parent);
36
    super.new(name, parent);
37
    if (!uvm_config_db#(tb_dut_config #(.W(W), .D(D)))::get(this, "", "tb_dut_config", cfg_h))
38
      `uvm_fatal(get_name(), "Couldn't get config object!")
39
  endfunction
40
 
41
  // --------------------------------------------------------------------
42
  function void end_of_elaboration_phase(uvm_phase phase);
43
    uvm_phase run_phase = uvm_run_phase::get();
44
    run_phase.phase_done.set_drain_time(this, 100ns);
45
  endfunction
46
 
47
  // --------------------------------------------------------------------
48
  function void final_phase(uvm_phase phase);
49
    super.final_phase(phase);
50
    $display("^^^ %16.t | %m | Test Done!!!", $time);
51
    $stop;
52
  endfunction : final_phase
53
 
54
  // --------------------------------------------------------------------
55
  virtual task run_phase(uvm_phase phase);
56
    s_debug seq = s_debug::type_id::create("seq");
57
    phase.raise_objection(this);
58
    seq.start(env_h.agent_h.sequencer_h);
59
    phase.drop_objection(this);
60
  endtask : run_phase
61
 
62
// --------------------------------------------------------------------
63
endclass : t_debug

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.