OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [tb_env.svh] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class tb_env extends uvm_env;
29
  `uvm_component_utils(tb_env);
30
 
31
  // --------------------------------------------------------------------
32
  tb_dut_config #(.W(W), .D(D)) cfg_h;
33
  // coverage coverage_h;
34
  fifo_scoreboard scoreboard_h;
35
  fifo_agent agent_h;
36
 
37
  // --------------------------------------------------------------------
38
  function new (string name, uvm_component parent);
39
    super.new(name,parent);
40
  endfunction : new
41
 
42
  // --------------------------------------------------------------------
43
  function void build_phase(uvm_phase phase);
44
    if (!uvm_config_db#(tb_dut_config #(.W(W), .D(D)))::get(this, "", "tb_dut_config", cfg_h))
45
      `uvm_fatal(get_name(), "Couldn't get config object!")
46
 
47
    agent_h = fifo_agent::type_id::create("agent_h", this);
48
    agent_h.vif = cfg_h.vif;
49
    scoreboard_h = fifo_scoreboard::type_id::create("scoreboard_h", this);
50
  endfunction : build_phase
51
 
52
  // --------------------------------------------------------------------
53
  function void connect_phase(uvm_phase phase);
54
    agent_h.monitor_h.ap.connect(scoreboard_h.analysis_export);
55
  endfunction : connect_phase
56
 
57
// --------------------------------------------------------------------
58
endclass : tb_env

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.