OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [sim/] [tests/] [tb_fifo/] [tb_top.sv] - Blame information for rev 44

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 44 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module tb_top;
29
  import uvm_pkg::*;
30
  import tb_fifo_pkg::*;
31
  `include "uvm_macros.svh"
32
 
33
  // --------------------------------------------------------------------
34
  wire clk_100mhz;
35
  wire tb_clk = clk_100mhz;
36
  wire tb_rst;
37
  wire clk_1000mhz;
38
 
39
  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
40
 
41
  // --------------------------------------------------------------------
42
  wire clk = clk_100mhz;
43
  wire reset = tb_rst;
44
 
45
  // --------------------------------------------------------------------
46
  fifo_if #(.W(W), .D(D)) dut_if(.*);
47
 
48
  sync_fifo #(.W(W), .D(D))
49
    dut
50
    (
51
      .wr_full(dut_if.wr_full),
52
      .wr_data(dut_if.wr_data),
53
      .wr_en(dut_if.wr_en),
54
      .rd_empty(dut_if.rd_empty),
55
      .rd_data(dut_if.rd_data),
56
      .rd_en(dut_if.rd_en),
57
      .count(dut_if.count),
58
      .clk(dut_if.clk),
59
      .reset(dut_if.reset)
60
    );
61
 
62
 
63
  // --------------------------------------------------------------------
64
  tb_dut_config #(.W(W), .D(D)) cfg_h = new(dut_if);
65
 
66
  initial
67
  begin
68
    uvm_config_db #(tb_dut_config #(.W(W), .D(D)))::set(null, "*", "tb_dut_config", cfg_h);
69
    run_test("t_debug");
70
  end
71
 
72
// --------------------------------------------------------------------
73
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.