OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [src/] [FIFOs/] [sync_fifo.sv] - Blame information for rev 34

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 34 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
 
29
module
30
  sync_fifo
31
  #(
32
    W = 8,
33
    D = 16,
34
    UB = $clog2(D)
35
  )
36
  (
37
    output            wr_full,
38
    input   [W-1:0]   wr_data,
39
    input             wr_en,
40
 
41
    output            rd_empty,
42
    output  [W-1:0]   rd_data,
43
    input             rd_en,
44
 
45
    output  [UB:0]    count,
46
    input             clk,
47
    input             reset
48
  );
49
 
50
  // --------------------------------------------------------------------
51
  //
52
  generate
53
    begin: fifo_gen
54
      if(D == 2)
55
      begin
56
        assign count = 0;
57
        tiny_sync_fifo #(.W(W))
58
          tiny_sync_fifo_i(.*);
59
      end
60
      else
61
      begin
62
        bc_sync_fifo #(.depth(D), .width(W))
63
          bc_sync_fifo_i
64
          (
65
            .wr_enable(wr_en),
66
            .rd_enable(rd_en),
67
            .empty(rd_empty),
68
            .full(wr_full),
69
            .count(count),
70
            .*
71
           );
72
      end
73
    end
74
  endgenerate
75
 
76
 
77
// --------------------------------------------------------------------
78
//
79
endmodule
80
 
81
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.