OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [video/] [sim/] [tests/] [tb_avf_line_buffer/] [t_debug.svh] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class t_debug extends t_top_base;
29
   `uvm_component_utils(t_debug)
30
 
31
  // --------------------------------------------------------------------
32
  function new(string name = "t_debug", uvm_component parent);
33
    super.new(name, parent);
34
  endfunction
35
 
36
  // --------------------------------------------------------------------
37
  function void end_of_elaboration_phase(uvm_phase phase);
38
    uvm_phase run_phase = uvm_run_phase::get();
39
    run_phase.phase_done.set_drain_time(this, 100ns);
40
  endfunction
41
 
42
  // --------------------------------------------------------------------
43
  function void final_phase(uvm_phase phase);
44
    super.final_phase(phase);
45
    $display("^^^ %16.t | %m | Test Done!!!", $time);
46
    $stop;
47
  endfunction : final_phase
48
 
49
  // --------------------------------------------------------------------
50
  virtual task run_phase(uvm_phase phase);
51
    s_debug seq = s_debug::type_id::create("seq");
52
    s_avf_slave_base s_seq = s_avf_slave_base::type_id::create("s_seq");
53
    s_seq.sequencer_h = env_h.s_agent_h.sequencer_h;
54
    fork
55
      s_seq.start(env_h.s_agent_h.sequencer_h);
56
    join_none
57
    seq.init(env_h.cfg_h.m_cfg_h.c_h);
58
    phase.raise_objection(this);
59
    seq.start(env_h.m_agent_h.sequencer_h);
60
    phase.drop_objection(this);
61
  endtask : run_phase
62
 
63
// --------------------------------------------------------------------
64
endclass : t_debug

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.