OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [video/] [sim/] [tests/] [tb_avf_line_buffer/] [tb_env.svh] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
class tb_env extends uvm_env;
29
  `uvm_component_utils(tb_env);
30
 
31
  // --------------------------------------------------------------------
32
  tb_dut_config #(N, U, L) cfg_h;
33
  // coverage coverage_h;
34
  avf_scoreboard scoreboard_h;
35
  avf_master_agent #(N/L, U) m_agent_h;
36
  avf_slave_agent #(N, U) s_agent_h;
37
 
38
  // --------------------------------------------------------------------
39
  function new (string name, uvm_component parent);
40
    super.new(name,parent);
41
  endfunction : new
42
 
43
  // --------------------------------------------------------------------
44
  function void build_phase(uvm_phase phase);
45
    if (!uvm_config_db #(tb_dut_config #(N, U, L))::get(this, "", "tb_dut_config", cfg_h))
46
      `uvm_fatal(get_name(), "Couldn't get config object!")
47
 
48
    m_agent_h = avf_master_agent #(N/L, U)::type_id::create("m_agent_h", this);
49
    m_agent_h.cfg_h = cfg_h.m_cfg_h;
50
    m_agent_h.is_active = cfg_h.m_cfg_h.get_is_active();
51
 
52
    s_agent_h = avf_slave_agent #(N, U)::type_id::create("s_agent_h", this);
53
    s_agent_h.cfg_h = cfg_h.s_cfg_h;
54
    s_agent_h.is_active = cfg_h.s_cfg_h.get_is_active();
55
 
56
    scoreboard_h = avf_scoreboard::type_id::create("scoreboard_h", this);
57
  endfunction : build_phase
58
 
59
  // --------------------------------------------------------------------
60
  function void connect_phase(uvm_phase phase);
61
    s_agent_h.monitor_h.ap.connect(scoreboard_h.analysis_export);
62
  endfunction : connect_phase
63
 
64
// --------------------------------------------------------------------
65
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.