OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [video/] [sim/] [tests/] [tb_avf_line_buffer/] [tb_top.sv] - Blame information for rev 49

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 49 qaztronic
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
//// Copyright (C) 2019 Authors and OPENCORES.ORG                 ////
4
////                                                              ////
5
//// This source file may be used and distributed without         ////
6
//// restriction provided that this copyright statement is not    ////
7
//// removed from the file and that any derivative work contains  ////
8
//// the original copyright notice and the associated disclaimer. ////
9
////                                                              ////
10
//// This source file is free software; you can redistribute it   ////
11
//// and/or modify it under the terms of the GNU Lesser General   ////
12
//// Public License as published by the Free Software Foundation; ////
13
//// either version 2.1 of the License, or (at your option) any   ////
14
//// later version.                                               ////
15
////                                                              ////
16
//// This source is distributed in the hope that it will be       ////
17
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
18
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
19
//// PURPOSE.  See the GNU Lesser General Public License for more ////
20
//// details.                                                     ////
21
////                                                              ////
22
//// You should have received a copy of the GNU Lesser General    ////
23
//// Public License along with this source; if not, download it   ////
24
//// from http://www.opencores.org/lgpl.shtml                     ////
25
////                                                              ////
26
//////////////////////////////////////////////////////////////////////
27
 
28
module tb_top;
29
  import uvm_pkg::*;
30
  `include "uvm_macros.svh"
31
  import tb_top_pkg::*;
32
 
33
  // --------------------------------------------------------------------
34
  wire clk_100mhz;
35
  wire tb_clk = clk_100mhz;
36
  wire tb_rst;
37
 
38
  tb_base #(.PERIOD(10_000)) tb(clk_100mhz, tb_rst);
39
 
40
  // --------------------------------------------------------------------
41
  wire aclk = clk_100mhz;
42
  wire tb_rst_s;
43
  wire aresetn = ~tb_rst_s;
44
 
45
  sync_reset sync_reset(aclk, tb_rst, tb_rst_s);
46
 
47
  // --------------------------------------------------------------------
48
  axis_if #(.N(N/L), .U(U)) axis_in(.*);
49
  axis_if #(.N(N), .U(U)) axis_out(.*);
50
  axis_if #(.N(N), .U(U)) axis_stub(.*);
51
 
52
  // --------------------------------------------------------------------
53
  avf_line_buffer #(N/L, U, L, AW) dut(.*);
54
 
55
  // --------------------------------------------------------------------
56
  bind dut axis_checker #(.N(N), .U(U), .MAXWAITS(256)) dut_in_b(.axis_in(axis_in));
57
  bind dut axis_checker #(.N(N), .U(U), .MAXWAITS(256)) dut_out_b(.axis_in(axis_out));
58
 
59
  // --------------------------------------------------------------------
60
  tb_dut_config #(N, U, L) cfg_h = new(axis_in, axis_out);
61
 
62
  initial
63
  begin
64
    cfg_h.init( .pixels_per_line(AW)
65
              , .lines_per_frame(AH)
66
              , .bits_per_pixel(B * 8)
67
              );
68
    uvm_config_db #(tb_dut_config #(N, U, L))::set(null, "*", "tb_dut_config", cfg_h);
69
    run_test("t_debug");
70
  end
71
 
72
// --------------------------------------------------------------------
73
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.