OpenCores
URL https://opencores.org/ocsvn/ram_wb/ram_wb/trunk

Subversion Repositories ram_wb

[/] [ram_wb/] [trunk/] [rtl/] [verilog/] [ram_wb_sc_dw.v] - Blame information for rev 4

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 unneback
module RAM_wb_sc_dw
2
  (
3
   d_a,
4
   q_a,
5
   adr_a,
6
   we_a,
7
   q_b,
8
   adr_b,
9
   d_b,
10
   we_b,
11
   clk
12
   );
13
   parameter DATA_WIDTH = 32;
14
   parameter ADDR_WIDTH = 11;
15
   parameter MEM_SIZE   = 2048;
16
 
17
   input [(DATA_WIDTH-1):0]      d_a;
18
   input [(ADDR_WIDTH-1):0]       adr_a;
19
   input [(ADDR_WIDTH-1):0]       adr_b;
20
   input                         we_a;
21
   output [(DATA_WIDTH-1):0]      q_b;
22
   input [(DATA_WIDTH-1):0]       d_b;
23
   output reg [(DATA_WIDTH-1):0] q_a;
24
   input                         we_b;
25
   input                         clk;
26
   reg [(DATA_WIDTH-1):0]         q_b;
27
   reg [DATA_WIDTH-1:0] ram [0:MEM_SIZE - 1] ;
28
   always @ (posedge clk)
29
     begin
30
        q_a <= ram[adr_a];
31
        if (we_a)
32
             ram[adr_a] <= d_a;
33
     end
34
   always @ (posedge clk)
35
     begin
36
          q_b <= ram[adr_b];
37
        if (we_b)
38
          ram[adr_b] <= d_b;
39
     end
40
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.