OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [gnsslib/] [sync/] [types_sync.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
------------------------------------------------------------------------------
2
--  INFORMATION:  http://www.GNSS-sensor.com
3
--  PROPERTY:     GNSS Sensor Ltd
4
--  E-MAIL:       chief@gnss-sensor.com
5
--  DESCRIPTION:  Clock domain transition modules description
6
------------------------------------------------------------------------------
7
--  WARNING:      
8
------------------------------------------------------------------------------
9
 
10
library ieee;
11
use ieee.std_logic_1164.all;
12
use ieee.numeric_std.all;
13
 
14
package types_sync is
15
 
16
  ------------------------------------------------------------------------------
17
  -- Reclocking from ADC to FSE clock domain
18
  component Reclk is
19
  port (
20
    nrst       : in std_logic;
21
    clk_bus    : in std_logic;
22
    clk_adc    : in std_logic;
23
    i_I        : in std_logic_vector(1 downto 0);
24
    i_Q        : in std_logic_vector(1 downto 0);
25
    i_ms_pulse : in std_logic;
26
    i_pps      : in std_logic;
27
    o_I        : out std_logic_vector(1 downto 0);
28
    o_Q        : out std_logic_vector(1 downto 0);
29
    o_ms_pulse : out std_logic;
30
    o_pps      : out std_logic;
31
    o_valid    : out std_logic
32
  );
33
  end component;
34
 
35
 
36
  ------------------------------------------------------------------------------
37
  -- Asynchronous clock transition fifo/pipe
38
  component Pipe is
39
  generic (
40
      generic_bitsz    : integer := 32;
41
      generic_depth    : integer := 4
42
  );
43
  port (
44
      i_nrst  : in std_logic;
45
      i_rclk  : in std_logic;
46
      i_wclk  : in std_logic;
47
      i_wena  : in std_logic;
48
      i_wdata : in std_logic_vector(generic_bitsz-1 downto 0);
49
      o_rdata : out std_logic_vector(generic_bitsz-1 downto 0);
50
      o_rdy   : out std_logic
51
  );
52
  end component;
53
 
54
 
55
  ------------------------------------------------------------------------------
56
  component afifo is
57
    generic (
58
        abits : integer := 4;
59
        dbits : integer := 8
60
    );
61
    port (
62
        i_nrst      : in  std_logic;
63
        -- Reading port.
64
        i_rclk      : in  std_logic;
65
        i_rd_ena    : in  std_logic;
66
        o_data      : out std_logic_vector (dbits-1 downto 0);
67
        o_empty     : out std_logic;
68
        o_valid     : out std_logic;
69
        -- Writing port.
70
        i_wclk      : in  std_logic;
71
        i_wr_ena    : in  std_logic;
72
        i_data      : in  std_logic_vector (dbits-1 downto 0);
73
        o_full      : out std_logic
74
 
75
    );
76
end component;
77
 
78
 
79
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.