OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [patches/] [rocket.scala] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
// See LICENSE for license details.
2
 
3
package rocket
4
 
5
import Chisel._
6
import junctions._
7
import uncore._
8
import Util._
9
import cde.{Parameters, Field}
10
 
11
case object UseFPU extends Field[Boolean]
12
case object FDivSqrt extends Field[Boolean]
13
case object XLen extends Field[Int]
14
case object FetchWidth extends Field[Int]
15
case object RetireWidth extends Field[Int]
16
case object UseVM extends Field[Boolean]
17
case object UsePerfCounters extends Field[Boolean]
18
case object FastLoadWord extends Field[Boolean]
19
case object FastLoadByte extends Field[Boolean]
20
case object FastMulDiv extends Field[Boolean]
21
case object CoreInstBits extends Field[Int]
22
case object CoreDataBits extends Field[Int]
23
case object CoreDCacheReqTagBits extends Field[Int]
24
case object NCustomMRWCSRs extends Field[Int]
25
case object MtvecInit extends Field[BigInt]
26
 
27
trait HasCoreParameters extends HasAddrMapParameters {
28
  implicit val p: Parameters
29
  val xLen = p(XLen)
30
 
31
  val usingVM = p(UseVM)
32
  val usingFPU = p(UseFPU)
33
  val usingFDivSqrt = p(FDivSqrt)
34
  val usingRoCC = !p(BuildRoCC).isEmpty
35
  val usingFastMulDiv = p(FastMulDiv)
36
  val fastLoadWord = p(FastLoadWord)
37
  val fastLoadByte = p(FastLoadByte)
38
 
39
  val retireWidth = p(RetireWidth)
40
  val fetchWidth = p(FetchWidth)
41
  val coreInstBits = p(CoreInstBits)
42
  val coreInstBytes = coreInstBits/8
43
  val coreDataBits = xLen
44
  val coreDataBytes = coreDataBits/8
45
  val coreDCacheReqTagBits = 7 + (2 + (if(!usingRoCC) 0 else 1))
46
  val coreMaxAddrBits = math.max(ppnBits,vpnBits+1) + pgIdxBits
47
  val vaddrBitsExtended = vaddrBits + (vaddrBits < xLen).toInt
48
  val mmioBase = p(MMIOBase)
49
  val nCustomMrwCsrs = p(NCustomMRWCSRs)
50
  val roccCsrs = if (p(BuildRoCC).isEmpty) Nil
51
    else p(BuildRoCC).flatMap(_.csrs)
52
  val nRoccCsrs = p(RoccNCSRs)
53
  val nCores = p(HtifKey).nCores
54
  val mtvecInit = p(MtvecInit)
55
  val startAddr = mtvecInit + 0x100
56
 
57
  // Print out log of committed instructions and their writeback values.
58
  // Requires post-processing due to out-of-order writebacks.
59
  val enableCommitLog = false
60
  val usingPerfCounters = p(UsePerfCounters)
61
 
62
  if (fastLoadByte) require(fastLoadWord)
63
}
64
 
65
abstract class CoreModule(implicit val p: Parameters) extends Module
66
  with HasCoreParameters
67
abstract class CoreBundle(implicit val p: Parameters) extends ParameterizedBundle()(p)
68
  with HasCoreParameters
69
 
70
class RegFile(n: Int, w: Int, zero: Boolean = false) {
71
  private val rf = Mem(n, UInt(width = w))
72
  private def access(addr: UInt) = rf(~addr(log2Up(n)-1,0))
73
  private val reads = collection.mutable.ArrayBuffer[(UInt,UInt)]()
74
  private var canRead = true
75
  def read(addr: UInt) = {
76
    require(canRead)
77
    reads += addr -> Wire(UInt())
78
    reads.last._2 := Mux(Bool(zero) && addr === UInt(0), UInt(0), access(addr))
79
    reads.last._2
80
  }
81
  def write(addr: UInt, data: UInt) = {
82
    canRead = false
83
    when (addr =/= UInt(0)) {
84
      access(addr) := data
85
      for ((raddr, rdata) <- reads)
86
        when (addr === raddr) { rdata := data }
87
    }
88
  }
89
}
90
 
91
object ImmGen {
92
  def apply(sel: UInt, inst: UInt) = {
93
    val sign = Mux(sel === IMM_Z, SInt(0), inst(31).toSInt)
94
    val b30_20 = Mux(sel === IMM_U, inst(30,20).toSInt, sign)
95
    val b19_12 = Mux(sel =/= IMM_U && sel =/= IMM_UJ, sign, inst(19,12).toSInt)
96
    val b11 = Mux(sel === IMM_U || sel === IMM_Z, SInt(0),
97
              Mux(sel === IMM_UJ, inst(20).toSInt,
98
              Mux(sel === IMM_SB, inst(7).toSInt, sign)))
99
    val b10_5 = Mux(sel === IMM_U || sel === IMM_Z, Bits(0), inst(30,25))
100
    val b4_1 = Mux(sel === IMM_U, Bits(0),
101
               Mux(sel === IMM_S || sel === IMM_SB, inst(11,8),
102
               Mux(sel === IMM_Z, inst(19,16), inst(24,21))))
103
    val b0 = Mux(sel === IMM_S, inst(7),
104
             Mux(sel === IMM_I, inst(20),
105
             Mux(sel === IMM_Z, inst(15), Bits(0))))
106
 
107
    Cat(sign, b30_20, b19_12, b11, b10_5, b4_1, b0).toSInt
108
  }
109
}
110
 
111
class Rocket(implicit p: Parameters) extends CoreModule()(p) {
112
  val io = new Bundle {
113
    val host = new HtifIO
114
    val imem  = new FrontendIO()(p.alterPartial({case CacheName => "L1I" }))
115
    val dmem = new HellaCacheIO()(p.alterPartial({ case CacheName => "L1D" }))
116
    val ptw = new DatapathPTWIO().flip
117
    val fpu = new FPUIO().flip
118
    val rocc = new RoCCInterface().flip
119
  }
120
 
121
  var decode_table = XDecode.table
122
  if (usingFPU) decode_table ++= FDecode.table
123
  if (usingFPU && usingFDivSqrt) decode_table ++= FDivSqrtDecode.table
124
  if (usingRoCC) decode_table ++= RoCCDecode.table
125
 
126
  val ex_ctrl = Reg(new IntCtrlSigs)
127
  val mem_ctrl = Reg(new IntCtrlSigs)
128
  val wb_ctrl = Reg(new IntCtrlSigs)
129
 
130
  val ex_reg_xcpt_interrupt  = Reg(Bool())
131
  val ex_reg_valid           = Reg(Bool())
132
  val ex_reg_btb_hit         = Reg(Bool())
133
  val ex_reg_btb_resp        = Reg(io.imem.btb_resp.bits)
134
  val ex_reg_xcpt            = Reg(Bool())
135
  val ex_reg_flush_pipe      = Reg(Bool())
136
  val ex_reg_load_use        = Reg(Bool())
137
  val ex_reg_cause           = Reg(UInt())
138
  val ex_reg_pc = Reg(UInt())
139
  val ex_reg_inst = Reg(Bits())
140
 
141
  val mem_reg_xcpt_interrupt  = Reg(Bool())
142
  val mem_reg_valid           = Reg(Bool())
143
  val mem_reg_btb_hit         = Reg(Bool())
144
  val mem_reg_btb_resp        = Reg(io.imem.btb_resp.bits)
145
  val mem_reg_xcpt            = Reg(Bool())
146
  val mem_reg_replay          = Reg(Bool())
147
  val mem_reg_flush_pipe      = Reg(Bool())
148
  val mem_reg_cause           = Reg(UInt())
149
  val mem_reg_slow_bypass     = Reg(Bool())
150
  val mem_reg_pc = Reg(UInt())
151
  val mem_reg_inst = Reg(Bits())
152
  val mem_reg_wdata = Reg(Bits())
153
  val mem_reg_rs2 = Reg(Bits())
154
  val take_pc_mem = Wire(Bool())
155
 
156
  val wb_reg_valid           = Reg(Bool())
157
  val wb_reg_xcpt            = Reg(Bool())
158
  val wb_reg_replay          = Reg(Bool())
159
  val wb_reg_cause           = Reg(UInt())
160
  val wb_reg_rocc_pending    = Reg(init=Bool(false))
161
  val wb_reg_pc = Reg(UInt())
162
  val wb_reg_inst = Reg(Bits())
163
  val wb_reg_wdata = Reg(Bits())
164
  val wb_reg_rs2 = Reg(Bits())
165
  val take_pc_wb = Wire(Bool())
166
  //SH
167
  val reg_ll_wdata_postponed = Reg(Bits())
168
  val reg_ll_waddr_postponed = Reg(Bits())
169
  val reg_ll_wen_postponed = Reg(init = Bool(false))
170
 
171
  val take_pc_mem_wb = take_pc_wb || take_pc_mem
172
  val take_pc = take_pc_mem_wb
173
 
174
  // decode stage
175
  val id_pc = io.imem.resp.bits.pc
176
  val id_inst = io.imem.resp.bits.data(0).toBits; require(fetchWidth == 1)
177
  val id_ctrl = Wire(new IntCtrlSigs()).decode(id_inst, decode_table)
178
  val id_raddr3 = id_inst(31,27)
179
  val id_raddr2 = id_inst(24,20)
180
  val id_raddr1 = id_inst(19,15)
181
  val id_waddr  = id_inst(11,7)
182
  val id_load_use = Wire(Bool())
183
  val id_reg_fence = Reg(init=Bool(false))
184
  val id_ren = IndexedSeq(id_ctrl.rxs1, id_ctrl.rxs2)
185
  val id_raddr = IndexedSeq(id_raddr1, id_raddr2)
186
  val rf = new RegFile(31, xLen)
187
  val id_rs = id_raddr.map(rf.read _)
188
  val ctrl_killd = Wire(Bool())
189
 
190
  val csr = Module(new CSRFile)
191
  val id_csr_en = id_ctrl.csr =/= CSR.N
192
  val id_system_insn = id_ctrl.csr === CSR.I
193
  val id_csr_ren = (id_ctrl.csr === CSR.S || id_ctrl.csr === CSR.C) && id_raddr1 === UInt(0)
194
  val id_csr = Mux(id_csr_ren, CSR.R, id_ctrl.csr)
195
  val id_csr_addr = id_inst(31,20)
196
  // this is overly conservative
197
  val safe_csrs = CSRs.sscratch :: CSRs.sepc :: CSRs.mscratch :: CSRs.mepc :: CSRs.mcause :: CSRs.mbadaddr :: Nil
198
  val legal_csrs = collection.mutable.LinkedHashSet(CSRs.all:_*)
199
  val id_csr_flush = id_system_insn || (id_csr_en && !id_csr_ren && !DecodeLogic(id_csr_addr, safe_csrs.map(UInt(_)), (legal_csrs -- safe_csrs).toList.map(UInt(_))))
200
 
201
  val id_illegal_insn = !id_ctrl.legal ||
202
    id_ctrl.fp && !csr.io.status.fs.orR ||
203
    id_ctrl.rocc && !csr.io.status.xs.orR
204
  // stall decode for fences (now, for AMO.aq; later, for AMO.rl and FENCE)
205
  val id_amo_aq = id_inst(26)
206
  val id_amo_rl = id_inst(25)
207
  val id_fence_next = id_ctrl.fence || id_ctrl.amo && id_amo_rl
208
  val id_mem_busy = !io.dmem.ordered || io.dmem.req.valid
209
  val id_rocc_busy = Bool(usingRoCC) &&
210
    (io.rocc.busy || ex_reg_valid && ex_ctrl.rocc ||
211
     mem_reg_valid && mem_ctrl.rocc || wb_reg_valid && wb_ctrl.rocc)
212
  id_reg_fence := id_fence_next || id_reg_fence && id_mem_busy
213
  val id_do_fence = id_rocc_busy && id_ctrl.fence ||
214
    id_mem_busy && (id_ctrl.amo && id_amo_aq || id_ctrl.fence_i || id_reg_fence && (id_ctrl.mem || id_ctrl.rocc) || id_csr_en)
215
 
216
  val (id_xcpt, id_cause) = checkExceptions(List(
217
    (csr.io.interrupt,          csr.io.interrupt_cause),
218
    (io.imem.resp.bits.xcpt_if, UInt(Causes.fault_fetch)),
219
    (id_illegal_insn,           UInt(Causes.illegal_instruction))))
220
 
221
  val dcache_bypass_data =
222
    if (fastLoadByte) io.dmem.resp.bits.data
223
    else if (fastLoadWord) io.dmem.resp.bits.data_word_bypass
224
    else wb_reg_wdata
225
 
226
  // detect bypass opportunities
227
  val ex_waddr = ex_reg_inst(11,7)
228
  val mem_waddr = mem_reg_inst(11,7)
229
  val wb_waddr = wb_reg_inst(11,7)
230
  val bypass_sources = IndexedSeq(
231
    (Bool(true), UInt(0), UInt(0)), // treat reading x0 as a bypass
232
    (ex_reg_valid && ex_ctrl.wxd, ex_waddr, mem_reg_wdata),
233
    (mem_reg_valid && mem_ctrl.wxd && !mem_ctrl.mem, mem_waddr, wb_reg_wdata),
234
    (mem_reg_valid && mem_ctrl.wxd, mem_waddr, dcache_bypass_data))
235
  val id_bypass_src = id_raddr.map(raddr => bypass_sources.map(s => s._1 && s._2 === raddr))
236
 
237
  // execute stage
238
  val bypass_mux = Vec(bypass_sources.map(_._3))
239
  val ex_reg_rs_bypass = Reg(Vec(id_raddr.size, Bool()))
240
  val ex_reg_rs_lsb = Reg(Vec(id_raddr.size, UInt()))
241
  val ex_reg_rs_msb = Reg(Vec(id_raddr.size, UInt()))
242
  val ex_rs = for (i <- 0 until id_raddr.size)
243
    yield Mux(ex_reg_rs_bypass(i), bypass_mux(ex_reg_rs_lsb(i)), Cat(ex_reg_rs_msb(i), ex_reg_rs_lsb(i)))
244
  val ex_imm = ImmGen(ex_ctrl.sel_imm, ex_reg_inst)
245
  val ex_op1 = MuxLookup(ex_ctrl.sel_alu1, SInt(0), Seq(
246
    A1_RS1 -> ex_rs(0).toSInt,
247
    A1_PC -> ex_reg_pc.toSInt))
248
  val ex_op2 = MuxLookup(ex_ctrl.sel_alu2, SInt(0), Seq(
249
    A2_RS2 -> ex_rs(1).toSInt,
250
    A2_IMM -> ex_imm,
251
    A2_FOUR -> SInt(4)))
252
 
253
  val alu = Module(new ALU)
254
  alu.io.dw := ex_ctrl.alu_dw
255
  alu.io.fn := ex_ctrl.alu_fn
256
  alu.io.in2 := ex_op2.toUInt
257
  alu.io.in1 := ex_op1.toUInt
258
 
259
  // multiplier and divider
260
  val div = Module(new MulDiv(width = xLen,
261
                              unroll = if(usingFastMulDiv) 8 else 1,
262
                              earlyOut = usingFastMulDiv))
263
  div.io.req.valid := ex_reg_valid && ex_ctrl.div
264
  div.io.req.bits.dw := ex_ctrl.alu_dw
265
  div.io.req.bits.fn := ex_ctrl.alu_fn
266
  div.io.req.bits.in1 := ex_rs(0)
267
  div.io.req.bits.in2 := ex_rs(1)
268
  div.io.req.bits.tag := ex_waddr
269
 
270
  ex_reg_valid := !ctrl_killd
271
  ex_reg_xcpt := !ctrl_killd && id_xcpt
272
  ex_reg_xcpt_interrupt := csr.io.interrupt && !take_pc && io.imem.resp.valid
273
  when (id_xcpt) { ex_reg_cause := id_cause }
274
 
275
  when (!ctrl_killd) {
276
    ex_ctrl := id_ctrl
277
    ex_ctrl.csr := id_csr
278
    ex_reg_btb_hit := io.imem.btb_resp.valid
279
    when (io.imem.btb_resp.valid) { ex_reg_btb_resp := io.imem.btb_resp.bits }
280
    ex_reg_flush_pipe := id_ctrl.fence_i || id_csr_flush
281
    ex_reg_load_use := id_load_use
282
 
283
    for (i <- 0 until id_raddr.size) {
284
      val do_bypass = id_bypass_src(i).reduce(_||_)
285
      val bypass_src = PriorityEncoder(id_bypass_src(i))
286
      ex_reg_rs_bypass(i) := do_bypass
287
      ex_reg_rs_lsb(i) := bypass_src
288
      when (id_ren(i) && !do_bypass) {
289
        ex_reg_rs_lsb(i) := id_rs(i)(bypass_src.getWidth-1,0)
290
        ex_reg_rs_msb(i) := id_rs(i) >> bypass_src.getWidth
291
      }
292
    }
293
  }
294
  when (!ctrl_killd || csr.io.interrupt) {
295
    ex_reg_inst := id_inst
296
    ex_reg_pc := id_pc
297
  }
298
 
299
  // replay inst in ex stage?
300
  val wb_dcache_miss = wb_ctrl.mem && !io.dmem.resp.valid
301
  val replay_ex_structural = ex_ctrl.mem && !io.dmem.req.ready ||
302
                             ex_ctrl.div && !div.io.req.ready
303
  val replay_ex_load_use = wb_dcache_miss && ex_reg_load_use
304
  val replay_ex = ex_reg_valid && (replay_ex_structural || replay_ex_load_use)
305
  val ctrl_killx = take_pc_mem_wb || replay_ex || !ex_reg_valid
306
  // detect 2-cycle load-use delay for LB/LH/SC
307
  val ex_slow_bypass = ex_ctrl.mem_cmd === M_XSC || Vec(MT_B, MT_BU, MT_H, MT_HU).contains(ex_ctrl.mem_type)
308
 
309
  val (ex_xcpt, ex_cause) = checkExceptions(List(
310
    (ex_reg_xcpt_interrupt || ex_reg_xcpt, ex_reg_cause),
311
    (ex_ctrl.fp && io.fpu.illegal_rm,      UInt(Causes.illegal_instruction))))
312
 
313
  // memory stage
314
  val mem_br_taken = mem_reg_wdata(0)
315
  val mem_br_target = mem_reg_pc.toSInt +
316
    Mux(mem_ctrl.branch && mem_br_taken, ImmGen(IMM_SB, mem_reg_inst),
317
    Mux(mem_ctrl.jal, ImmGen(IMM_UJ, mem_reg_inst), SInt(4)))
318
  val mem_int_wdata = Mux(mem_ctrl.jalr, mem_br_target, mem_reg_wdata.toSInt).toUInt
319
  val mem_npc = (Mux(mem_ctrl.jalr, Cat(vaSign(mem_reg_wdata, mem_reg_wdata), mem_reg_wdata(vaddrBits-1,0)).toSInt, mem_br_target) & SInt(-2)).toUInt
320
  val mem_wrong_npc = mem_npc =/= ex_reg_pc || !ex_reg_valid
321
  val mem_npc_misaligned = mem_npc(1)
322
  val mem_misprediction = mem_wrong_npc && mem_reg_valid && (mem_ctrl.branch || mem_ctrl.jalr || mem_ctrl.jal)
323
  val want_take_pc_mem = mem_reg_valid && (mem_misprediction || mem_reg_flush_pipe)
324
  take_pc_mem := want_take_pc_mem && !mem_npc_misaligned
325
 
326
  mem_reg_valid := !ctrl_killx
327
  mem_reg_replay := !take_pc_mem_wb && replay_ex
328
  mem_reg_xcpt := !ctrl_killx && ex_xcpt
329
  mem_reg_xcpt_interrupt := !take_pc_mem_wb && ex_reg_xcpt_interrupt
330
  when (ex_xcpt) { mem_reg_cause := ex_cause }
331
 
332
  when (ex_reg_valid || ex_reg_xcpt_interrupt) {
333
    mem_ctrl := ex_ctrl
334
    mem_reg_btb_hit := ex_reg_btb_hit
335
    when (ex_reg_btb_hit) { mem_reg_btb_resp := ex_reg_btb_resp }
336
    mem_reg_flush_pipe := ex_reg_flush_pipe
337
    mem_reg_slow_bypass := ex_slow_bypass
338
 
339
    mem_reg_inst := ex_reg_inst
340
    mem_reg_pc := ex_reg_pc
341
    mem_reg_wdata := alu.io.out
342
    when (ex_ctrl.rxs2 && (ex_ctrl.mem || ex_ctrl.rocc)) {
343
      mem_reg_rs2 := ex_rs(1)
344
    }
345
  }
346
 
347
  val (mem_xcpt, mem_cause) = checkExceptions(List(
348
    (mem_reg_xcpt_interrupt || mem_reg_xcpt,              mem_reg_cause),
349
    (want_take_pc_mem && mem_npc_misaligned,              UInt(Causes.misaligned_fetch)),
350
    (mem_reg_valid && mem_ctrl.mem && io.dmem.xcpt.ma.st, UInt(Causes.misaligned_store)),
351
    (mem_reg_valid && mem_ctrl.mem && io.dmem.xcpt.ma.ld, UInt(Causes.misaligned_load)),
352
    (mem_reg_valid && mem_ctrl.mem && io.dmem.xcpt.pf.st, UInt(Causes.fault_store)),
353
    (mem_reg_valid && mem_ctrl.mem && io.dmem.xcpt.pf.ld, UInt(Causes.fault_load))))
354
 
355
  val dcache_kill_mem = mem_reg_valid && mem_ctrl.wxd && io.dmem.replay_next.valid // structural hazard on writeback port
356
  val fpu_kill_mem = mem_reg_valid && mem_ctrl.fp && io.fpu.nack_mem
357
  val replay_mem  = dcache_kill_mem || mem_reg_replay || fpu_kill_mem
358
  val killm_common = dcache_kill_mem || take_pc_wb || mem_reg_xcpt || !mem_reg_valid
359
  div.io.kill := killm_common && Reg(next = div.io.req.fire())
360
  val ctrl_killm = killm_common || mem_xcpt || fpu_kill_mem
361
 
362
  // writeback stage
363
  wb_reg_valid := !ctrl_killm
364
  wb_reg_replay := replay_mem && !take_pc_wb
365
  wb_reg_xcpt := mem_xcpt && !take_pc_wb
366
  when (mem_xcpt) { wb_reg_cause := mem_cause }
367
  when (mem_reg_valid || mem_reg_replay || mem_reg_xcpt_interrupt) {
368
    wb_ctrl := mem_ctrl
369
    wb_reg_wdata := Mux(mem_ctrl.fp && mem_ctrl.wxd, io.fpu.toint_data, mem_int_wdata)
370
    when (mem_ctrl.rocc) {
371
      wb_reg_rs2 := mem_reg_rs2
372
    }
373
    wb_reg_inst := mem_reg_inst
374
    wb_reg_pc := mem_reg_pc
375
  }
376
 
377
  val wb_set_sboard = wb_ctrl.div || wb_dcache_miss || wb_ctrl.rocc
378
  val replay_wb_common = io.dmem.resp.bits.nack || wb_reg_replay
379
  val wb_rocc_val = wb_reg_valid && wb_ctrl.rocc && !replay_wb_common
380
  val replay_wb = replay_wb_common || wb_reg_valid && wb_ctrl.rocc && !io.rocc.cmd.ready
381
  val wb_xcpt = wb_reg_xcpt || csr.io.csr_xcpt
382
  take_pc_wb := replay_wb || wb_xcpt || csr.io.eret
383
 
384
  when (wb_rocc_val) { wb_reg_rocc_pending := !io.rocc.cmd.ready }
385
  when (wb_reg_xcpt) { wb_reg_rocc_pending := Bool(false) }
386
 
387
  // writeback arbitration
388
  val dmem_resp_xpu = !io.dmem.resp.bits.tag(0).toBool
389
  val dmem_resp_fpu =  io.dmem.resp.bits.tag(0).toBool
390
  val dmem_resp_waddr = io.dmem.resp.bits.tag.toUInt()(5,1)
391
  val dmem_resp_valid = io.dmem.resp.valid && io.dmem.resp.bits.has_data
392
  val dmem_resp_replay = io.dmem.resp.bits.replay && io.dmem.resp.bits.has_data
393
 
394
  div.io.resp.ready := !(wb_reg_valid && wb_ctrl.wxd)
395
  val ll_wdata = Wire(init = div.io.resp.bits.data)
396
  val ll_waddr = Wire(init = div.io.resp.bits.tag)
397
  val ll_wen = Wire(init = div.io.resp.fire())
398
  if (usingRoCC) {
399
    io.rocc.resp.ready := !(wb_reg_valid && wb_ctrl.wxd)
400
    when (io.rocc.resp.fire()) {
401
      div.io.resp.ready := Bool(false)
402
      ll_wdata := io.rocc.resp.bits.data
403
      ll_waddr := io.rocc.resp.bits.rd
404
      ll_wen := Bool(true)
405
    }
406
  }
407
  when (dmem_resp_replay && dmem_resp_xpu) {
408
    div.io.resp.ready := Bool(false)
409
    if (usingRoCC)
410
      io.rocc.resp.ready := Bool(false)
411
    ll_waddr := dmem_resp_waddr
412
    ll_wen := Bool(true)
413
  }
414
 
415
  val wb_valid = wb_reg_valid && !replay_wb && !csr.io.csr_xcpt
416
  val wb_wen = wb_valid && wb_ctrl.wxd
417
 
418
  //SH
419
  val stall_wen = ll_wen && wb_wen// && (wb_waddr === UInt(0x1))
420
  when (stall_wen) {
421
       reg_ll_wen_postponed := Bool(true)
422
       reg_ll_waddr_postponed := wb_waddr
423
       reg_ll_wdata_postponed := wb_reg_wdata
424
  }
425
  when (!wb_wen || (!ll_wen && wb_wen && wb_waddr === reg_ll_waddr_postponed)) {
426
       reg_ll_wen_postponed := Bool(false)
427
       reg_ll_waddr_postponed := UInt(0)
428
       reg_ll_wdata_postponed := UInt(0)
429
  }
430
  val rf_wen = wb_wen || ll_wen || reg_ll_wen_postponed
431
  val rf_waddr = Mux(ll_wen, ll_waddr,
432
                 Mux(wb_wen, wb_waddr,
433
                 reg_ll_waddr_postponed))
434
 
435
  val rf_wdata = Mux(dmem_resp_valid && dmem_resp_xpu, io.dmem.resp.bits.data,
436
                 Mux(ll_wen, ll_wdata,
437
                 Mux(wb_ctrl.csr =/= CSR.N, csr.io.rw.rdata,
438
                 Mux(wb_wen, wb_reg_wdata,
439
                 reg_ll_wdata_postponed))))
440
 
441
  //val rf_wen = wb_wen || ll_wen
442
  //val rf_waddr = Mux(ll_wen, ll_waddr, wb_waddr)
443
  //val rf_wdata = Mux(dmem_resp_valid && dmem_resp_xpu, io.dmem.resp.bits.data,
444
  //               Mux(ll_wen, ll_wdata,
445
  //               Mux(wb_ctrl.csr != CSR.N, csr.io.rw.rdata,
446
  //               wb_reg_wdata)))
447
  when (rf_wen) { rf.write(rf_waddr, rf_wdata) }
448
 
449
  // hook up control/status regfile
450
  csr.io.exception := wb_reg_xcpt
451
  csr.io.cause := wb_reg_cause
452
  csr.io.retire := wb_valid
453
  io.host <> csr.io.host
454
  io.fpu.fcsr_rm := csr.io.fcsr_rm
455
  csr.io.fcsr_flags := io.fpu.fcsr_flags
456
  csr.io.rocc <> io.rocc
457
  csr.io.pc := wb_reg_pc
458
  csr.io.uarch_counters.foreach(_ := Bool(false))
459
  io.ptw.ptbr := csr.io.ptbr
460
  io.ptw.invalidate := csr.io.fatc
461
  io.ptw.status := csr.io.status
462
  csr.io.rw.addr := wb_reg_inst(31,20)
463
  csr.io.rw.cmd := Mux(wb_reg_valid, wb_ctrl.csr, CSR.N)
464
  csr.io.rw.wdata := wb_reg_wdata
465
 
466
  val hazard_targets = Seq((id_ctrl.rxs1 && id_raddr1 =/= UInt(0), id_raddr1),
467
                           (id_ctrl.rxs2 && id_raddr2 =/= UInt(0), id_raddr2),
468
                           (id_ctrl.wxd  && id_waddr  =/= UInt(0), id_waddr))
469
  val fp_hazard_targets = Seq((io.fpu.dec.ren1, id_raddr1),
470
                              (io.fpu.dec.ren2, id_raddr2),
471
                              (io.fpu.dec.ren3, id_raddr3),
472
                              (io.fpu.dec.wen, id_waddr))
473
 
474
  val sboard = new Scoreboard(32)
475
  sboard.clear(ll_wen, ll_waddr)
476
  val id_sboard_hazard = checkHazards(hazard_targets, sboard.readBypassed _)
477
  sboard.set(wb_set_sboard && wb_wen, wb_waddr)
478
 
479
  // stall for RAW/WAW hazards on CSRs, loads, AMOs, and mul/div in execute stage.
480
  val ex_cannot_bypass = ex_ctrl.csr =/= CSR.N || ex_ctrl.jalr || ex_ctrl.mem || ex_ctrl.div || ex_ctrl.fp || ex_ctrl.rocc
481
  val data_hazard_ex = ex_ctrl.wxd && checkHazards(hazard_targets, _ === ex_waddr)
482
  val fp_data_hazard_ex = ex_ctrl.wfd && checkHazards(fp_hazard_targets, _ === ex_waddr)
483
  val id_ex_hazard = ex_reg_valid && (data_hazard_ex && ex_cannot_bypass || fp_data_hazard_ex)
484
 
485
  // stall for RAW/WAW hazards on CSRs, LB/LH, and mul/div in memory stage.
486
  val mem_mem_cmd_bh =
487
    if (fastLoadWord) Bool(!fastLoadByte) && mem_reg_slow_bypass
488
    else Bool(true)
489
  val mem_cannot_bypass = mem_ctrl.csr =/= CSR.N || mem_ctrl.mem && mem_mem_cmd_bh || mem_ctrl.div || mem_ctrl.fp || mem_ctrl.rocc
490
  val data_hazard_mem = mem_ctrl.wxd && checkHazards(hazard_targets, _ === mem_waddr)
491
  val fp_data_hazard_mem = mem_ctrl.wfd && checkHazards(fp_hazard_targets, _ === mem_waddr)
492
  val id_mem_hazard = mem_reg_valid && (data_hazard_mem && mem_cannot_bypass || fp_data_hazard_mem)
493
  id_load_use := mem_reg_valid && data_hazard_mem && mem_ctrl.mem
494
 
495
  // stall for RAW/WAW hazards on load/AMO misses and mul/div in writeback.
496
  val data_hazard_wb = wb_ctrl.wxd && checkHazards(hazard_targets, _ === wb_waddr)
497
  val fp_data_hazard_wb = wb_ctrl.wfd && checkHazards(fp_hazard_targets, _ === wb_waddr)
498
  val id_wb_hazard = wb_reg_valid && (data_hazard_wb && wb_set_sboard || fp_data_hazard_wb)
499
 
500
  val id_stall_fpu = if (usingFPU) {
501
    val fp_sboard = new Scoreboard(32)
502
    fp_sboard.set((wb_dcache_miss && wb_ctrl.wfd || io.fpu.sboard_set) && wb_valid, wb_waddr)
503
    fp_sboard.clear(dmem_resp_replay && dmem_resp_fpu, dmem_resp_waddr)
504
    fp_sboard.clear(io.fpu.sboard_clr, io.fpu.sboard_clra)
505
 
506
    id_csr_en && !io.fpu.fcsr_rdy || checkHazards(fp_hazard_targets, fp_sboard.read _)
507
  } else Bool(false)
508
 
509
  val ctrl_stalld =
510
    id_ex_hazard || id_mem_hazard || id_wb_hazard || id_sboard_hazard ||
511
    id_ctrl.fp && id_stall_fpu ||
512
    id_ctrl.mem && !io.dmem.req.ready ||
513
    Bool(usingRoCC) && wb_reg_rocc_pending && id_ctrl.rocc && !io.rocc.cmd.ready ||
514
    id_do_fence ||
515
    csr.io.csr_stall ||
516
    stall_wen || reg_ll_wen_postponed   //SH
517
  ctrl_killd := !io.imem.resp.valid || take_pc || ctrl_stalld || csr.io.interrupt
518
 
519
  io.imem.req.valid := take_pc
520
  io.imem.req.bits.pc :=
521
    Mux(wb_xcpt || csr.io.eret, csr.io.evec,     // exception or [m|s]ret
522
    Mux(replay_wb,              wb_reg_pc,       // replay
523
                                mem_npc)).toUInt // mispredicted branch
524
  io.imem.invalidate := wb_reg_valid && wb_ctrl.fence_i
525
  io.imem.resp.ready := !ctrl_stalld || csr.io.interrupt
526
 
527
  io.imem.btb_update.valid := mem_reg_valid && !mem_npc_misaligned && mem_wrong_npc && ((mem_ctrl.branch && mem_br_taken) || mem_ctrl.jalr || mem_ctrl.jal) && !take_pc_wb
528
  io.imem.btb_update.bits.isJump := mem_ctrl.jal || mem_ctrl.jalr
529
  io.imem.btb_update.bits.isReturn := mem_ctrl.jalr && mem_reg_inst(19,15) === BitPat("b00??1")
530
  io.imem.btb_update.bits.pc := mem_reg_pc
531
  io.imem.btb_update.bits.target := io.imem.req.bits.pc
532
  io.imem.btb_update.bits.br_pc := mem_reg_pc
533
  io.imem.btb_update.bits.prediction.valid := mem_reg_btb_hit
534
  io.imem.btb_update.bits.prediction.bits := mem_reg_btb_resp
535
 
536
  io.imem.bht_update.valid := mem_reg_valid && mem_ctrl.branch && !take_pc_wb
537
  io.imem.bht_update.bits.pc := mem_reg_pc
538
  io.imem.bht_update.bits.taken := mem_br_taken
539
  io.imem.bht_update.bits.mispredict := mem_wrong_npc
540
  io.imem.bht_update.bits.prediction := io.imem.btb_update.bits.prediction
541
 
542
  io.imem.ras_update.valid := mem_reg_valid && io.imem.btb_update.bits.isJump && !mem_npc_misaligned && !take_pc_wb
543
  io.imem.ras_update.bits.returnAddr := mem_int_wdata
544
  io.imem.ras_update.bits.isCall := mem_ctrl.wxd && mem_waddr(0)
545
  io.imem.ras_update.bits.isReturn := io.imem.btb_update.bits.isReturn
546
  io.imem.ras_update.bits.prediction := io.imem.btb_update.bits.prediction
547
 
548
  io.fpu.valid := !ctrl_killd && id_ctrl.fp
549
  io.fpu.killx := ctrl_killx
550
  io.fpu.killm := killm_common
551
  io.fpu.inst := id_inst
552
  io.fpu.fromint_data := ex_rs(0)
553
  io.fpu.dmem_resp_val := dmem_resp_valid && dmem_resp_fpu
554
  io.fpu.dmem_resp_data := io.dmem.resp.bits.data_word_bypass
555
  io.fpu.dmem_resp_type := io.dmem.resp.bits.typ
556
  io.fpu.dmem_resp_tag := dmem_resp_waddr
557
 
558
  io.dmem.req.valid     := ex_reg_valid && ex_ctrl.mem
559
  io.dmem.req.bits.kill := killm_common || mem_xcpt
560
  io.dmem.req.bits.cmd  := ex_ctrl.mem_cmd
561
  io.dmem.req.bits.typ  := ex_ctrl.mem_type
562
  io.dmem.req.bits.phys := Bool(false)
563
  io.dmem.req.bits.addr := Cat(vaSign(ex_rs(0), alu.io.adder_out), alu.io.adder_out(vaddrBits-1,0)).toUInt
564
  io.dmem.req.bits.tag := Cat(ex_waddr, ex_ctrl.fp)
565
  io.dmem.req.bits.data := Mux(mem_ctrl.fp, io.fpu.store_data, mem_reg_rs2)
566
  require(coreDCacheReqTagBits >= 6)
567
  io.dmem.invalidate_lr := wb_xcpt
568
 
569
  io.rocc.cmd.valid := wb_rocc_val
570
  io.rocc.exception := wb_xcpt && csr.io.status.xs.orR
571
  io.rocc.s := csr.io.status.prv.orR // should we just pass all of mstatus?
572
  io.rocc.cmd.bits.inst := new RoCCInstruction().fromBits(wb_reg_inst)
573
  io.rocc.cmd.bits.rs1 := wb_reg_wdata
574
  io.rocc.cmd.bits.rs2 := wb_reg_rs2
575
 
576
  if (enableCommitLog) {
577
    val pc = Wire(SInt(width=64))
578
    pc := wb_reg_pc
579
    val inst = wb_reg_inst
580
    val rd = RegNext(RegNext(RegNext(id_waddr)))
581
    val wfd = wb_ctrl.wfd
582
    val wxd = wb_ctrl.wxd
583
    val has_data = wb_wen && !wb_set_sboard
584
    val priv = csr.io.status.prv
585
 
586
    when (wb_valid) {
587
      when (wfd) {
588
        printf ("%d 0x%x (0x%x) f%d p%d 0xXXXXXXXXXXXXXXXX\n", priv, pc, inst, rd, rd+UInt(32))
589
      }
590
      .elsewhen (wxd && rd =/= UInt(0) && has_data) {
591
        printf ("%d 0x%x (0x%x) x%d 0x%x\n", priv, pc, inst, rd, rf_wdata)
592
      }
593
      .elsewhen (wxd && rd =/= UInt(0) && !has_data) {
594
        printf ("%d 0x%x (0x%x) x%d p%d 0xXXXXXXXXXXXXXXXX\n", priv, pc, inst, rd, rd)
595
      }
596
      .otherwise {
597
        printf ("%d 0x%x (0x%x)\n", priv, pc, inst)
598
      }
599
    }
600
 
601
    when (ll_wen && rf_waddr =/= UInt(0)) {
602
      printf ("x%d p%d 0x%x\n", rf_waddr, rf_waddr, rf_wdata)
603
    }
604
  }
605
  else {
606
    printf("C%d: %d [%d] pc=[%x] W[r%d=%x][%d] R[r%d=%x] R[r%d=%x] inst=[%x] DASM(%x)\n",
607
         io.host.id, csr.io.time(32,0), wb_valid, wb_reg_pc,
608
         Mux(rf_wen, rf_waddr, UInt(0)), rf_wdata, rf_wen,
609
         wb_reg_inst(19,15), Reg(next=Reg(next=ex_rs(0))),
610
         wb_reg_inst(24,20), Reg(next=Reg(next=ex_rs(1))),
611
         wb_reg_inst, wb_reg_inst)
612
  }
613
 
614
  def checkExceptions(x: Seq[(Bool, UInt)]) =
615
    (x.map(_._1).reduce(_||_), PriorityMux(x))
616
 
617
  def checkHazards(targets: Seq[(Bool, UInt)], cond: UInt => Bool) =
618
    targets.map(h => h._1 && cond(h._2)).reduce(_||_)
619
 
620
  def vaSign(a0: UInt, ea: UInt) = {
621
    // efficient means to compress 64-bit VA into vaddrBits+1 bits
622
    // (VA is bad if VA(vaddrBits) != VA(vaddrBits-1))
623
    val a = a0 >> vaddrBits-1
624
    val e = ea(vaddrBits,vaddrBits-1)
625
    Mux(a === UInt(0) || a === UInt(1), e =/= UInt(0),
626
    Mux(a.toSInt === SInt(-1) || a.toSInt === SInt(-2), e.toSInt === SInt(-1),
627
    e(0)))
628
  }
629
 
630
  class Scoreboard(n: Int)
631
  {
632
    def set(en: Bool, addr: UInt): Unit = update(en, _next | mask(en, addr))
633
    def clear(en: Bool, addr: UInt): Unit = update(en, _next & ~mask(en, addr))
634
    def read(addr: UInt): Bool = r(addr)
635
    def readBypassed(addr: UInt): Bool = _next(addr)
636
 
637
    private val r = Reg(init=Bits(0, n))
638
    private var _next = r
639
    private var ens = Bool(false)
640
    private def mask(en: Bool, addr: UInt) = Mux(en, UInt(1) << addr, UInt(0))
641
    private def update(en: Bool, update: UInt) = {
642
      _next = update
643
      ens = ens || en
644
      when (ens) { r := _next }
645
    }
646
  }
647
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.