OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [prj/] [modelsim/] [riscv_soc.mpf] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
; Copyright 1991-2013 Mentor Graphics Corporation
2
;
3
; All Rights Reserved.
4
;
5
; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF
6
; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
7
;
8
 
9
[Library]
10
std = $MODEL_TECH/../std
11
ieee = $MODEL_TECH/../ieee
12
vital2000 = $MODEL_TECH/../vital2000
13
;
14
; VITAL concerns:
15
;
16
; The library ieee contains (among other packages) the packages of the
17
; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
18
; the physical library ieee (recommended), or use the physical library
19
; vital2000, but not both.  The design can use logical library ieee and/or
20
; vital2000 as long as each of these maps to the same physical library, either
21
; ieee or vital2000.
22
;
23
; A design using the 1995 version of the VITAL packages, whether or not
24
; it also uses the 2000 version of the VITAL packages, must have logical library
25
; name ieee mapped to physical library vital1995.  (A design cannot use library
26
; vital1995 directly because some packages in this library use logical name ieee
27
; when referring to the other packages in the library.)  The design source
28
; should use logical name ieee when referring to any packages there except the
29
; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
30
; name vital2000 (mapped to physical library vital2000) to refer to those
31
; packages.
32
; ieee = $MODEL_TECH/../vital1995
33
;
34
; For compatiblity with previous releases, logical library name vital2000 maps
35
; to library vital2000 (a different library than library ieee, containing the
36
; same packages).
37
; A design should not reference VITAL from both the ieee library and the
38
; vital2000 library because the vital packages are effectively different.
39
; A design that references both the ieee and vital2000 libraries must have
40
; both logical names ieee and vital2000 mapped to the same library, either of
41
; these:
42
;   $MODEL_TECH/../ieee
43
;   $MODEL_TECH/../vital2000
44
;
45
verilog = $MODEL_TECH/../verilog
46
std_developerskit = $MODEL_TECH/../std_developerskit
47
synopsys = $MODEL_TECH/../synopsys
48
modelsim_lib = $MODEL_TECH/../modelsim_lib
49
sv_std = $MODEL_TECH/../sv_std
50
mtiAvm = $MODEL_TECH/../avm
51
mtiOvm = $MODEL_TECH/../ovm-2.1.2
52
mtiUvm = $MODEL_TECH/../uvm-1.1d
53
mtiUPF = $MODEL_TECH/../upf_lib
54
mtiPA  = $MODEL_TECH/../pa_lib
55
floatfixlib = $MODEL_TECH/../floatfixlib
56
mc2_lib = $MODEL_TECH/../mc2_lib
57
osvvm = $MODEL_TECH/../osvvm
58
;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
59
;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
60
;mvc_lib = $MODEL_TECH/../mvc_lib
61
 
62
 
63
commonlib = commonlib
64
ambalib = ambalib
65
techmap = techmap
66
work = work
67
riverlib = riverlib
68
misclib = misclib
69
ethlib = ethlib
70
rocketlib = rocketlib
71
[DefineOptionset]
72
; Define optionset entries for the various compilers, vmake, and vsim.
73
; These option sets can be used with the "-optionset " syntax.
74
; i.e.
75
;  vlog -optionset COMPILEDEBUG top.sv
76
;  vsim -optionset UVMDEBUG my_top
77
;
78
; Following are some useful examples.
79
 
80
; define a vsim optionset for uvm debugging
81
UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
82
 
83
; define a vopt optionset for debugging
84
VOPTDEBUG = +acc -debugdb
85
 
86
 
87
[vcom]
88
; VHDL93 variable selects language version as the default.
89
; Default is VHDL-2002.
90
; Value of 0 or 1987 for VHDL-1987.
91
; Value of 1 or 1993 for VHDL-1993.
92
; Default or value of 2 or 2002 for VHDL-2002.
93
; Value of 3 or 2008 for VHDL-2008
94
VHDL93 = 2002
95
 
96
; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
97
; ignoreStandardRealVector = 1
98
 
99
; Show source line containing error. Default is off.
100
; Show_source = 1
101
 
102
; Turn off unbound-component warnings. Default is on.
103
; Show_Warning1 = 0
104
 
105
; Turn off process-without-a-wait-statement warnings. Default is on.
106
; Show_Warning2 = 0
107
 
108
; Turn off null-range warnings. Default is on.
109
; Show_Warning3 = 0
110
 
111
; Turn off no-space-in-time-literal warnings. Default is on.
112
; Show_Warning4 = 0
113
 
114
; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
115
; Show_Warning5 = 0
116
 
117
; Turn off optimization for IEEE std_logic_1164 package. Default is on.
118
; Optimize_1164 = 0
119
 
120
; Turn on resolving of ambiguous function overloading in favor of the
121
; "explicit" function declaration (not the one automatically created by
122
; the compiler for each type declaration). Default is off.
123
; The .ini file has Explicit enabled so that std_logic_signed/unsigned
124
; will match the behavior of synthesis tools.
125
Explicit = 1
126
 
127
; Turn off acceleration of the VITAL packages. Default is to accelerate.
128
; NoVital = 1
129
 
130
; Turn off VITAL compliance checking. Default is checking on.
131
; NoVitalCheck = 1
132
 
133
; Ignore VITAL compliance checking errors. Default is to not ignore.
134
; IgnoreVitalErrors = 1
135
 
136
; Turn off VITAL compliance checking warnings. Default is to show warnings.
137
; Show_VitalChecksWarnings = 0
138
 
139
; Turn off PSL assertion warning messages. Default is to show warnings.
140
; Show_PslChecksWarnings = 0
141
 
142
; Enable parsing of embedded PSL assertions. Default is enabled.
143
; EmbeddedPsl = 0
144
 
145
; Keep silent about case statement static warnings.
146
; Default is to give a warning.
147
; NoCaseStaticError = 1
148
 
149
; Keep silent about warnings caused by aggregates that are not locally static.
150
; Default is to give a warning.
151
; NoOthersStaticError = 1
152
 
153
; Treat as errors:
154
;   case statement static warnings
155
;   warnings caused by aggregates that are not locally static
156
; Overrides NoCaseStaticError, NoOthersStaticError settings.
157
; PedanticErrors = 1
158
 
159
; Turn off inclusion of debugging info within design units.
160
; Default is to include debugging info.
161
; NoDebug = 1
162
 
163
; Turn off "Loading..." messages. Default is messages on.
164
; Quiet = 1
165
 
166
; Turn on some limited synthesis rule compliance checking. Checks only:
167
;    -- signals used (read) by a process must be in the sensitivity list
168
; CheckSynthesis = 1
169
 
170
; Activate optimizations on expressions that do not involve signals,
171
; waits, or function/procedure/task invocations. Default is off.
172
; ScalarOpts = 1
173
 
174
; Turns on lint-style checking.
175
; Show_Lint = 1
176
 
177
; Require the user to specify a configuration for all bindings,
178
; and do not generate a compile time default binding for the
179
; component. This will result in an elaboration error of
180
; 'component not bound' if the user fails to do so. Avoids the rare
181
; issue of a false dependency upon the unused default binding.
182
; RequireConfigForAllDefaultBinding = 1
183
 
184
; Perform default binding at compile time.
185
; Default is to do default binding at load time.
186
; BindAtCompile = 1;
187
 
188
; Inhibit range checking on subscripts of arrays. Range checking on
189
; scalars defined with subtypes is inhibited by default.
190
; NoIndexCheck = 1
191
 
192
; Inhibit range checks on all (implicit and explicit) assignments to
193
; scalar objects defined with subtypes.
194
; NoRangeCheck = 1
195
 
196
; Set the prefix to be honored for synthesis/coverage pragma recognition.
197
; Default is "".
198
; AddPragmaPrefix = ""
199
 
200
; Ignore synthesis and coverage pragmas with this prefix.
201
; Default is "".
202
; IgnorePragmaPrefix = ""
203
 
204
; Turn on code coverage in VHDL design units. Default is off.
205
; Coverage = sbceft
206
 
207
; Turn off code coverage in VHDL subprograms. Default is on.
208
; CoverSub = 0
209
 
210
; Automatically exclude VHDL case statement OTHERS choice branches.
211
; This includes OTHERS choices in selected signal assigment statements.
212
; Default is to not exclude.
213
; CoverExcludeDefault = 1
214
 
215
; Control compiler and VOPT optimizations that are allowed when
216
; code coverage is on.  Refer to the comment for this in the [vlog] area.
217
; CoverOpt = 3
218
 
219
; Turn on or off clkOpt optimization for code coverage. Default is on.
220
; CoverClkOpt = 1
221
 
222
; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
223
; CoverClkOptBuiltins = 0
224
 
225
; Inform code coverage optimizations to respect VHDL 'H' and 'L'
226
; values on signals in conditions and expressions, and to not automatically
227
; convert them to '1' and '0'. Default is to not convert.
228
; CoverRespectHandL = 0
229
 
230
; Increase or decrease the maximum number of rows allowed in a UDP table
231
; implementing a VHDL condition coverage or expression coverage expression.
232
; More rows leads to a longer compile time, but more expressions covered.
233
; CoverMaxUDPRows = 192
234
 
235
; Increase or decrease the maximum number of input patterns that are present
236
; in FEC table. This leads to a longer compile time with more expressions
237
; covered with FEC metric.
238
; CoverMaxFECRows = 192
239
 
240
; Increase or decrease the limit on the size of expressions and conditions
241
; considered for expression and condition coverages. Higher FecUdpEffort leads
242
; to higher compile, optimize and simulation time, but more expressions and
243
; conditions are considered for coverage in the design. FecUdpEffort can
244
; be set to a number ranging from 1 (low) to 3 (high), defined as:
245
;   1 - (low) Only small expressions and conditions considered for coverage.
246
;   2 - (medium) Bigger expressions and conditions considered for coverage.
247
;   3 - (high) Very large expressions and conditions considered for coverage.
248
; The default setting is 1 (low).
249
; FecUdpEffort = 1
250
 
251
; Enable or disable Focused Expression Coverage analysis for conditions and
252
; expressions. Focused Expression Coverage data is provided by default when
253
; expression and/or condition coverage is active.
254
; CoverFEC = 0
255
 
256
; Enable or disable UDP Coverage analysis for conditions and expressions.
257
; UDP Coverage data is disabled by default when expression and/or condition
258
; coverage is active.
259
; CoverUDP = 1
260
 
261
; Enable or disable short circuit evaluation of conditions and expressions when
262
; condition or expression coverage is active. Short circuit evaluation is enabled
263
; by default.
264
; CoverShortCircuit = 0
265
 
266
; Enable code coverage reporting of code that has been optimized away.
267
; The default is not to report.
268
; CoverReportCancelled = 1
269
 
270
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
271
; Default is no deglitching.
272
; CoverDeglitchOn = 1
273
 
274
; Control the code coverage deglitching period. A period of 0, eliminates delta
275
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
276
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
277
; CoverDeglitchPeriod = 0
278
 
279
; Use this directory for compiler temporary files instead of "work/_temp"
280
; CompilerTempDir = /tmp
281
 
282
; Set this to cause the compilers to force data to be committed to disk
283
; when the files are closed.
284
; SyncCompilerFiles = 1
285
 
286
; Add VHDL-AMS declarations to package STANDARD
287
; Default is not to add
288
; AmsStandard = 1
289
 
290
; Range and length checking will be performed on array indices and discrete
291
; ranges, and when violations are found within subprograms, errors will be
292
; reported. Default is to issue warnings for violations, because subprograms
293
; may not be invoked.
294
; NoDeferSubpgmCheck = 0
295
 
296
; Turn ON detection of FSMs having single bit current state variable.
297
; FsmSingle = 1
298
 
299
; Turn off reset state transitions in FSM.
300
; FsmResetTrans = 0
301
 
302
; Turn ON detection of FSM Implicit Transitions.
303
; FsmImplicitTrans = 1
304
 
305
; Controls whether or not to show immediate assertions with constant expressions
306
; in GUI/report/UCDB etc. By default, immediate assertions with constant
307
; expressions are shown in GUI/report/UCDB etc. This does not affect
308
; evaluation of immediate assertions.
309
; ShowConstantImmediateAsserts = 0
310
 
311
; Controls how VHDL basic identifiers are stored with the design unit.
312
; Does not make the language case-sensitive, affects only how declarations
313
; declared with basic identifiers have their names stored and printed
314
; (in the GUI, examine, etc.).
315
; Default is to preserve the case as originally depicted in the VHDL source.
316
; Value of 0 indicates to change all basic identifiers to lower case.
317
; PreserveCase = 0
318
 
319
; For Configuration Declarations, controls the effect that USE clauses have
320
; on visibility inside the configuration items being configured.  If 1
321
; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
322
; extend the visibility of objects made visible through USE clauses into nested
323
; component configurations.
324
; OldVHDLConfigurationVisibility = 0
325
 
326
; Allows VHDL configuration declarations to be in a different library from
327
; the corresponding configured entity. Default is to not allow this for
328
; stricter LRM-compliance.
329
; SeparateConfigLibrary = 1;
330
 
331
; Determine how mode OUT subprogram parameters of type array and record are treated.
332
; If 0 (the default), then only VHDL 2008 will do this initialization.
333
; If 1, always initialize the mode OUT parameter to its default value.
334
; If 2, do not initialize the mode OUT out parameter.
335
; Note that prior to release 10.1, all language versions did not initialize mode
336
; OUT array and record type parameters, unless overridden here via this mechanism.
337
; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
338
; initialization, unless overridden here.
339
; InitOutCompositeParam = 0
340
 
341
; Generate symbols debugging database in only some special cases to save on
342
; the number of files in the library. For other design-units, this database is
343
; generated on-demand in vsim.
344
; Default is to to generate debugging database for all design-units.
345
; SmartDbgSym = 1
346
 
347
[vlog]
348
; Turn off inclusion of debugging info within design units.
349
; Default is to include debugging info.
350
; NoDebug = 1
351
 
352
; Turn on `protect compiler directive processing.
353
; Default is to ignore `protect directives.
354
; Protect = 1
355
 
356
; Turn off "Loading..." messages. Default is messages on.
357
; Quiet = 1
358
 
359
; Turn on Verilog hazard checking (order-dependent accessing of global vars).
360
; Default is off.
361
; Hazard = 1
362
 
363
; Turn on converting regular Verilog identifiers to uppercase. Allows case
364
; insensitivity for module names. Default is no conversion.
365
; UpCase = 1
366
 
367
; Activate optimizations on expressions that do not involve signals,
368
; waits, or function/procedure/task invocations. Default is off.
369
; ScalarOpts = 1
370
 
371
; Turns on lint-style checking.
372
; Show_Lint = 1
373
 
374
; Show source line containing error. Default is off.
375
; Show_source = 1
376
 
377
; Turn on bad option warning. Default is off.
378
; Show_BadOptionWarning = 1
379
 
380
; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
381
; vlog95compat = 1
382
 
383
; Turn off PSL warning messages. Default is to show warnings.
384
; Show_PslChecksWarnings = 0
385
 
386
; Enable parsing of embedded PSL assertions. Default is enabled.
387
; EmbeddedPsl = 0
388
 
389
; Set the threshold for automatically identifying sparse Verilog memories.
390
; A memory with depth equal to or more than the sparse memory threshold gets
391
; marked as sparse automatically, unless specified otherwise in source code
392
; or by +nosparse commandline option of vlog or vopt.
393
; The default is 1M.  (i.e. memories with depth equal
394
; to or greater than 1M are marked as sparse)
395
; SparseMemThreshold = 1048576
396
 
397
; Set the prefix to be honored for synthesis and coverage pragma recognition.
398
; Default is "".
399
; AddPragmaPrefix = ""
400
 
401
; Ignore synthesis and coverage pragmas with this prefix.
402
; Default is "".
403
; IgnorePragmaPrefix = ""
404
 
405
; Set the option to treat all files specified in a vlog invocation as a
406
; single compilation unit. The default value is set to 0 which will treat
407
; each file as a separate compilation unit as specified in the P1800 draft standard.
408
; MultiFileCompilationUnit = 1
409
 
410
; Turn on code coverage in Verilog design units. Default is off.
411
; Coverage = sbceft
412
 
413
; Automatically exclude Verilog case statement default branches.
414
; Default is to not automatically exclude defaults.
415
; CoverExcludeDefault = 1
416
 
417
; Increase or decrease the maximum number of rows allowed in a UDP table
418
; implementing a VHDL condition coverage or expression coverage expression.
419
; More rows leads to a longer compile time, but more expressions covered.
420
; CoverMaxUDPRows = 192
421
 
422
; Increase or decrease the maximum number of input patterns that are present
423
; in FEC table. This leads to a longer compile time with more expressions
424
; covered with FEC metric.
425
; CoverMaxFECRows = 192
426
 
427
; Increase or decrease the limit on the size of expressions and conditions
428
; considered for expression and condition coverages. Higher FecUdpEffort leads
429
; to higher compile, optimize and simulation time, but more expressions and
430
; conditions are considered for coverage in the design. FecUdpEffort can
431
; be set to a number ranging from 1 (low) to 3 (high), defined as:
432
;   1 - (low) Only small expressions and conditions considered for coverage.
433
;   2 - (medium) Bigger expressions and conditions considered for coverage.
434
;   3 - (high) Very large expressions and conditions considered for coverage.
435
; The default setting is 1 (low).
436
; FecUdpEffort = 1
437
 
438
; Enable or disable Focused Expression Coverage analysis for conditions and
439
; expressions. Focused Expression Coverage data is provided by default when
440
; expression and/or condition coverage is active.
441
; CoverFEC = 0
442
 
443
; Enable or disable UDP Coverage analysis for conditions and expressions.
444
; UDP Coverage data is disabled by default when expression and/or condition
445
; coverage is active.
446
; CoverUDP = 1
447
 
448
; Enable or disable short circuit evaluation of conditions and expressions when
449
; condition or expression coverage is active. Short circuit evaluation is enabled
450
; by default.
451
; CoverShortCircuit = 0
452
 
453
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
454
; Default is no deglitching.
455
; CoverDeglitchOn = 1
456
 
457
; Control the code coverage deglitching period. A period of 0, eliminates delta
458
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
459
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
460
; CoverDeglitchPeriod = 0
461
 
462
; Turn on code coverage in VLOG `celldefine modules, modules containing
463
; specify blocks, and modules included using vlog -v and -y. Default is off.
464
; CoverCells = 1
465
 
466
; Enable code coverage reporting of code that has been optimized away.
467
; The default is not to report.
468
; CoverReportCancelled = 1
469
 
470
; Control compiler and VOPT optimizations that are allowed when
471
; code coverage is on. This is a number from 0 to 5, with the following
472
; meanings (the default is 3):
473
;    5 -- All allowable optimizations are on.
474
;    4 -- Turn off removing unreferenced code.
475
;    3 -- Turn off process, always block and if statement merging.
476
;    2 -- Turn off expression optimization, converting primitives
477
;         to continuous assignments, VHDL subprogram inlining.
478
;         and VHDL clkOpt (converting FF's to builtins).
479
;    1 -- Turn off continuous assignment optimizations and clock suppression.
480
;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
481
; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
482
; level 3, with also turning off converting primitives to continuous assigns.
483
; CoverOpt = 3
484
 
485
; Specify the override for the default value of "cross_num_print_missing"
486
; option for the Cross in Covergroups. If not specified then LRM default
487
; value of 0 (zero) is used. This is a compile time option.
488
; SVCrossNumPrintMissingDefault = 0
489
 
490
; Setting following to 1 would cause creation of variables which
491
; would represent the value of Coverpoint expressions. This is used
492
; in conjunction with "SVCoverpointExprVariablePrefix" option
493
; in the modelsim.ini
494
; EnableSVCoverpointExprVariable = 0
495
 
496
; Specify the override for the prefix used in forming the variable names
497
; which represent the Coverpoint expressions. This is used in conjunction with
498
; "EnableSVCoverpointExprVariable" option of the modelsim.ini
499
; The default prefix is "expr".
500
; The variable name is
501
;    variable name => _
502
; SVCoverpointExprVariablePrefix = expr
503
 
504
; Override for the default value of the SystemVerilog covergroup,
505
; coverpoint, and cross option.goal (defined to be 100 in the LRM).
506
; NOTE: It does not override specific assignments in SystemVerilog
507
; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
508
; in the [vsim] section can override this value.
509
; SVCovergroupGoalDefault = 100
510
 
511
; Override for the default value of the SystemVerilog covergroup,
512
; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
513
; NOTE: It does not override specific assignments in SystemVerilog
514
; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
515
; in the [vsim] section can override this value.
516
; SVCovergroupTypeGoalDefault = 100
517
 
518
; Specify the override for the default value of "strobe" option for the
519
; Covergroup Type. This is a compile time option which forces "strobe" to
520
; a user specified default value and supersedes SystemVerilog specified
521
; default value of '0'(zero). NOTE: This can be overriden by a runtime
522
; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
523
; SVCovergroupStrobeDefault = 0
524
 
525
; Specify the override for the default value of "per_instance" option for the
526
; Covergroup variables. This is a compile time option which forces "per_instance"
527
; to a user specified default value and supersedes SystemVerilog specified
528
; default value of '0'(zero).
529
; SVCovergroupPerInstanceDefault = 0
530
 
531
; Specify the override for the default value of "get_inst_coverage" option for the
532
; Covergroup variables. This is a compile time option which forces
533
; "get_inst_coverage" to a user specified default value and supersedes
534
; SystemVerilog specified default value of '0'(zero).
535
; SVCovergroupGetInstCoverageDefault = 0
536
 
537
;
538
; A space separated list of resource libraries that contain precompiled
539
; packages.  The behavior is identical to using the "-L" switch.
540
;
541
; LibrarySearchPath =  [ ...]
542
LibrarySearchPath = mtiAvm mtiOvm mtiUvm mtiUPF
543
 
544
; The behavior is identical to the "-mixedansiports" switch.  Default is off.
545
; MixedAnsiPorts = 1
546
 
547
; Enable SystemVerilog 3.1a $typeof() function. Default is off.
548
; EnableTypeOf = 1
549
 
550
; Only allow lower case pragmas. Default is disabled.
551
; AcceptLowerCasePragmaOnly = 1
552
 
553
; Set the maximum depth permitted for a recursive include file nesting.
554
; IncludeRecursionDepthMax = 5
555
 
556
; Turn ON detection of FSMs having single bit current state variable.
557
; FsmSingle = 1
558
 
559
; Turn off reset state transitions in FSM.
560
; FsmResetTrans = 0
561
 
562
; Turn off detections of FSMs having x-assignment.
563
; FsmXAssign = 0
564
 
565
; Turn ON detection of FSM Implicit Transitions.
566
; FsmImplicitTrans = 1
567
 
568
; List of file suffixes which will be read as SystemVerilog.  White space
569
; in extensions can be specified with a back-slash: "\ ".  Back-slashes
570
; can be specified with two consecutive back-slashes: "\\";
571
; SVFileExtensions = sv svp svh
572
 
573
; This setting is the same as the vlog -sv command line switch.
574
; Enables SystemVerilog features and keywords when true (1).
575
; When false (0), the rules of IEEE Std 1364-2001 are followed and
576
; SystemVerilog keywords are ignored.
577
; Svlog = 0
578
 
579
; Prints attribute placed upon SV packages during package import
580
; when true (1).  The attribute will be ignored when this
581
; entry is false (0). The attribute name is "package_load_message".
582
; The value of this attribute is a string literal.
583
; Default is true (1).
584
; PrintSVPackageLoadingAttribute = 1
585
 
586
; Do not show immediate assertions with constant expressions in
587
; GUI/reports/UCDB etc. By default immediate assertions with constant
588
; expressions are shown in GUI/reports/UCDB etc. This does not affect
589
; evaluation of immediate assertions.
590
; ShowConstantImmediateAsserts = 0
591
 
592
; Controls if untyped parameters that are initialized with values greater
593
; than 2147483647 are mapped to generics of type INTEGER or ignored.
594
; If mapped to VHDL Integers, values greater than 2147483647
595
; are mapped to negative values.
596
; Default is to map these parameter to generic of type INTEGER
597
; ForceUnsignedToVHDLInteger = 1
598
 
599
; Enable AMS wreal (wired real) extensions.  Default is 0.
600
; WrealType = 1
601
 
602
; Controls SystemVerilog Language Extensions.  These options enable
603
; some non-LRM compliant behavior.  Valid extensions are "feci",
604
; "pae", "uslt", "spsl", "sccts", "iddp" and "atpi".
605
; SVExtensions = uslt,spsl,sccts
606
 
607
; Generate symbols debugging database in only some special cases to save on
608
; the number of files in the library. For other design-units, this database is
609
; generated on-demand in vsim.
610
; Default is to to generate debugging database for all design-units.
611
; SmartDbgSym = 1
612
 
613
[sccom]
614
; Enable use of SCV include files and library.  Default is off.
615
; UseScv = 1
616
 
617
; Add C++ compiler options to the sccom command line by using this variable.
618
; CppOptions = -g
619
 
620
; Use custom C++ compiler located at this path rather than the default path.
621
; The path should point directly at a compiler executable.
622
; CppPath = /usr/bin/g++
623
 
624
; Enable verbose messages from sccom.  Default is off.
625
; SccomVerbose = 1
626
 
627
; sccom logfile.  Default is no logfile.
628
; SccomLogfile = sccom.log
629
 
630
; Enable use of SC_MS include files and library.  Default is off.
631
; UseScMs = 1
632
 
633
[vopt]
634
; Turn on code coverage in vopt.  Default is off.
635
; Coverage = sbceft
636
 
637
; Control compiler optimizations that are allowed when
638
; code coverage is on.  Refer to the comment for this in the [vlog] area.
639
; CoverOpt = 3
640
 
641
; Increase or decrease the maximum number of rows allowed in a UDP table
642
; implementing a VHDL condition coverage or expression coverage expression.
643
; More rows leads to a longer compile time, but more expressions covered.
644
; CoverMaxUDPRows = 192
645
 
646
; Increase or decrease the maximum number of input patterns that are present
647
; in FEC table. This leads to a longer compile time with more expressions
648
; covered with FEC metric.
649
; CoverMaxFECRows = 192
650
 
651
; Increase or decrease the limit on the size of expressions and conditions
652
; considered for expression and condition coverages. Higher FecUdpEffort leads
653
; to higher compile, optimize and simulation time, but more expressions and
654
; conditions are considered for coverage in the design. FecUdpEffort can
655
; be set to a number ranging from 1 (low) to 3 (high), defined as:
656
;   1 - (low) Only small expressions and conditions considered for coverage.
657
;   2 - (medium) Bigger expressions and conditions considered for coverage.
658
;   3 - (high) Very large expressions and conditions considered for coverage.
659
; The default setting is 1 (low).
660
; FecUdpEffort = 1
661
 
662
; Enable code coverage reporting of code that has been optimized away.
663
; The default is not to report.
664
; CoverReportCancelled = 1
665
 
666
; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
667
; Default is no deglitching.
668
; CoverDeglitchOn = 1
669
 
670
; Control the code coverage deglitching period. A period of 0, eliminates delta
671
; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
672
; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
673
; CoverDeglitchPeriod = 0
674
 
675
; Do not show immediate assertions with constant expressions in
676
; GUI/reports/UCDB etc. By default immediate assertions with constant
677
; expressions are shown in GUI/reports/UCDB etc. This does not affect
678
; evaluation of immediate assertions.
679
; ShowConstantImmediateAsserts = 0
680
 
681
; Set the maximum number of iterations permitted for a generate loop.
682
; Restricting this permits the implementation to recognize infinite
683
; generate loops.
684
; GenerateLoopIterationMax = 100000
685
 
686
; Set the maximum depth permitted for a recursive generate instantiation.
687
; Restricting this permits the implementation to recognize infinite
688
; recursions.
689
; GenerateRecursionDepthMax = 200
690
 
691
; Set the number of processes created during the code generation phase.
692
; By default a heuristic is used to set this value.  This may be set to 0
693
; to disable this feature completely.
694
; ParallelJobs = 0
695
 
696
; Controls SystemVerilog Language Extensions.  These options enable
697
; some non-LRM compliant behavior.  Valid extensions are "feci",
698
; "pae", "uslt", "spsl" and "sccts".
699
; SVExtensions = uslt,spsl,sccts
700
 
701
[vsim]
702
; vopt flow
703
; Set to turn on automatic optimization of a design.
704
; Default is on
705
VoptFlow = 1
706
 
707
; Simulator resolution
708
; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
709
Resolution = ns
710
 
711
; Disable certain code coverage exclusions automatically.
712
; Assertions and FSM are exluded from the code coverage by default
713
; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
714
; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
715
; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
716
; Or specify comma or space separated list
717
;AutoExclusionsDisable = fsm,assertions
718
 
719
; User time unit for run commands
720
; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
721
; unit specified for Resolution. For example, if Resolution is 100ps,
722
; then UserTimeUnit defaults to ps.
723
; Should generally be set to default.
724
UserTimeUnit = default
725
 
726
; Default run length
727
RunLength = 11 ms
728
 
729
; Maximum iterations that can be run without advancing simulation time
730
IterationLimit = 5000
731
 
732
; Set XPROP assertion fail limit. Default is 5.
733
; Any positive integer, -1 for infinity.
734
; XpropAssertionLimit = 5
735
 
736
; Control PSL and Verilog Assume directives during simulation
737
; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
738
; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
739
; SimulateAssumeDirectives = 1
740
 
741
; Control the simulation of PSL and SVA
742
; These switches can be overridden by the vsim command line switches:
743
;    -psl, -nopsl, -sva, -nosva.
744
; Set SimulatePSL = 0 to disable PSL simulation
745
; Set SimulatePSL = 1 to enable PSL simulation (default)
746
; SimulatePSL = 1
747
; Set SimulateSVA = 0 to disable SVA simulation
748
; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
749
; SimulateSVA = 1
750
 
751
; Control SVA and VHDL immediate assertion directives during simulation
752
; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts
753
; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
754
; SimulateImmedAsserts = 1
755
 
756
; Directives to license manager can be set either as single value or as
757
; space separated multi-values:
758
; vhdl          Immediately reserve a VHDL license
759
; vlog          Immediately reserve a Verilog license
760
; plus          Immediately reserve a VHDL and Verilog license
761
; noqueue       Do not wait in the license queue when a license is not available
762
; viewsim       Try for viewer license but accept simulator license(s) instead
763
;               of queuing for viewer license (PE ONLY)
764
; noviewer      Disable checkout of msimviewer and vsim-viewer license
765
;               features (PE ONLY)
766
; noslvhdl      Disable checkout of qhsimvh and vsim license features
767
; noslvlog      Disable checkout of qhsimvl and vsimvlog license features
768
; nomix         Disable checkout of msimhdlmix and hdlmix license features
769
; nolnl         Disable checkout of msimhdlsim and hdlsim license features
770
; mixedonly     Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog license
771
;               features
772
; lnlonly       Disable checkout of qhsimvh,qhsimvl,vsim,vsimvlog,msimhdlmix,
773
;               hdlmix license features
774
; Single value:
775
; License = plus
776
; Multi-value:
777
; License = noqueue plus
778
 
779
; Severity level of a VHDL assertion message or of a SystemVerilog immediate assertion
780
; which will cause a running simulation to stop.
781
; VHDL assertions and SystemVerilog immediate assertions that occur with the
782
; given severity or higher will cause a running simulation to stop.
783
; This value is ignored during elaboration.
784
; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
785
BreakOnAssertion = 4
786
 
787
; The class debug feature enables more visibility and tracking of class instances
788
; during simulation.  By default this feature is 0 (disabled).  To enable this
789
; feature set ClassDebug to 1.
790
; ClassDebug = 1
791
 
792
; Message Format conversion specifications:
793
; %S - Severity Level of message/assertion
794
; %R - Text of message
795
; %T - Time of message
796
; %D - Delta value (iteration number) of Time
797
; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
798
; %i - Instance/Region/Signal pathname with Process name (if available)
799
; %I - shorthand for one of these:
800
;      "  %K: %i"
801
;      "  %K: %i File: %F" (when path is not Process or Signal)
802
;      except that the %i in this case does not report the Process name
803
; %O - Process name
804
; %P - Instance/Region path without leaf process
805
; %F - File name
806
; %L - Line number; if assertion message, then line number of assertion or, if
807
;      assertion is in a subprogram, line from which the call is made
808
; %u - Design unit name in form library.primary
809
; %U - Design unit name in form library.primary(secondary)
810
; %% - The '%' character itself
811
;
812
; If specific format for Severity Level is defined, use that format.
813
; Else, for a message that occurs during elaboration:
814
;   -- Failure/Fatal message in VHDL region that is not a Process, and in
815
;      certain non-VHDL regions, uses MessageFormatBreakLine;
816
;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
817
;   -- Note/Warning/Error message uses MessageFormat.
818
; Else, for a message that occurs during runtime and triggers a breakpoint because
819
; of the BreakOnAssertion setting:
820
;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
821
;   -- otherwise uses MessageFormatBreak.
822
; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
823
;
824
; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
825
; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
826
; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
827
; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
828
; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
829
; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
830
; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
831
; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
832
 
833
; Error File - alternate file for storing error messages
834
; ErrorFile = error.log
835
 
836
; Simulation Breakpoint messages
837
; This flag controls the display of function names when reporting the location
838
; where the simulator stops because of a breakpoint or fatal error.
839
; Example with function name:    # Break in Process ctr at counter.vhd line 44
840
; Example without function name: # Break at counter.vhd line 44
841
; Default value is 1.
842
ShowFunctions = 1
843
 
844
; Default radix for all windows and commands.
845
; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
846
; Flags may be one of: enumnumeric, showbase
847
DefaultRadix = hexadecimal
848
DefaultRadixFlags =  showbase
849
; Set to 1 for make the signal_force VHDL and Verilog functions use the
850
; default radix when processing the force value. Prior to 10.2 signal_force
851
; used the default radix, now it always uses symbolic unless value explicitly indicates base
852
;SignalForceFunctionUseDefaultRadix = 0
853
 
854
; VSIM Startup command
855
; Startup = do startup.do
856
 
857
; VSIM Shutdown file
858
; Filename to save u/i formats and configurations.
859
; ShutdownFile = restart.do
860
; To explicitly disable auto save:
861
; ShutdownFile = --disable-auto-save
862
 
863
; File for saving command transcript
864
TranscriptFile = transcript
865
 
866
; File for saving command history
867
; CommandHistory = cmdhist.log
868
 
869
; Specify whether paths in simulator commands should be described
870
; in VHDL or Verilog format.
871
; For VHDL, PathSeparator = /
872
; For Verilog, PathSeparator = .
873
; Must not be the same character as DatasetSeparator.
874
PathSeparator = /
875
 
876
; Specify the dataset separator for fully rooted contexts.
877
; The default is ':'. For example: sim:/top
878
; Must not be the same character as PathSeparator.
879
DatasetSeparator = :
880
 
881
; Specify a unique path separator for the Signal Spy set of functions.
882
; The default will be to use the PathSeparator variable.
883
; Must not be the same character as DatasetSeparator.
884
; SignalSpyPathSeparator = /
885
 
886
; Used to control parsing of HDL identifiers input to the tool.
887
; This includes CLI commands, vsim/vopt/vlog/vcom options,
888
; string arguments to FLI/VPI/DPI calls, etc.
889
; If set to 1, accept either Verilog escaped Id syntax or
890
; VHDL extended id syntax, regardless of source language.
891
; If set to 0, the syntax of the source language must be used.
892
; Each identifier in a hierarchical name may need different syntax,
893
; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
894
;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
895
; GenerousIdentifierParsing = 1
896
 
897
; Disable VHDL assertion messages
898
; IgnoreNote = 1
899
; IgnoreWarning = 1
900
; IgnoreError = 1
901
; IgnoreFailure = 1
902
 
903
; Disable SystemVerilog assertion messages
904
; IgnoreSVAInfo = 1
905
; IgnoreSVAWarning = 1
906
; IgnoreSVAError = 1
907
; IgnoreSVAFatal = 1
908
 
909
; Do not print any additional information from Severity System tasks.
910
; Only the message provided by the user is printed along with severity
911
; information.
912
; SVAPrintOnlyUserMessage = 1;
913
 
914
; Default force kind. May be freeze, drive, deposit, or default
915
; or in other terms, fixed, wired, or charged.
916
; A value of "default" will use the signal kind to determine the
917
; force kind, drive for resolved signals, freeze for unresolved signals
918
; DefaultForceKind = freeze
919
 
920
; Control the iteration of events when a VHDL signal is forced to a value
921
; This flag can be set to honour the signal update event in next iteration,
922
; the default is to update and propagate in the same iteration.
923
; ForceSigNextIter = 1
924
 
925
 
926
; If zero, open files when elaborated; otherwise, open files on
927
; first read or write.  Default is 0.
928
; DelayFileOpen = 1
929
 
930
; Control VHDL files opened for write.
931
;   0 = Buffered, 1 = Unbuffered
932
UnbufferedOutput = 0
933
 
934
; Control the number of VHDL files open concurrently.
935
; This number should always be less than the current ulimit
936
; setting for max file descriptors.
937
;   0 = unlimited
938
ConcurrentFileLimit = 40
939
 
940
; Control the number of hierarchical regions displayed as
941
; part of a signal name shown in the Wave window.
942
; A value of zero tells VSIM to display the full name.
943
; The default is 0.
944
; WaveSignalNameWidth = 0
945
 
946
; Turn off warnings when changing VHDL constants and generics
947
; Default is 1 to generate warning messages
948
; WarnConstantChange = 0
949
 
950
; Turn off warnings from accelerated versions of the std_logic_arith,
951
; std_logic_unsigned, and std_logic_signed packages.
952
; StdArithNoWarnings = 1
953
 
954
; Turn off warnings from accelerated versions of the IEEE numeric_std
955
; and numeric_bit packages.
956
; NumericStdNoWarnings = 1
957
 
958
; Use old-style (pre-6.6) VHDL FOR generate statement iteration names
959
; in the design hierarchy.
960
; This style is controlled by the value of the GenerateFormat
961
; value described next.  Default is to use new-style names, which
962
; comprise the generate statement label, '(', the value of the generate
963
; parameter, and a closing ')'.
964
; Uncomment this to use old-style names.
965
; OldVhdlForGenNames = 1
966
 
967
; Control the format of the old-style VHDL FOR generate statement region
968
; name for each iteration.  Do not quote it.
969
; The format string here must contain the conversion codes %s and %d,
970
; in that order, and no other conversion codes.  The %s represents
971
; the generate statement label; the %d represents the generate parameter value
972
; at a particular iteration (this is the position number if the generate parameter
973
; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
974
; leading and trailing whitespace is ignored.
975
; Application of the format must result in a unique region name over all
976
; loop iterations for a particular immediately enclosing scope so that name
977
; lookup can function properly.  The default is %s__%d.
978
; GenerateFormat = %s__%d
979
 
980
; Enable changes in VHDL elaboration to allow for Variable Logging
981
; This trades off simulation performance for the ability to log variables
982
; efficiently.  By default this is disable for maximum simulation performance
983
; VhdlVariableLogging = 1
984
 
985
; Make VHDL packages in PDUs have there own copy of a package instead
986
; of sharing the package between PDUs. By default share packages
987
; VhdlSeparatePduPackage = 0
988
 
989
; Specify whether checkpoint files should be compressed.
990
; The default is 1 (compressed).
991
; CheckpointCompressMode = 0
992
 
993
; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
994
; Use custom gcc compiler located at this path rather than the default path.
995
; The path should point directly at a compiler executable.
996
; DpiCppPath = /bin/gcc
997
 
998
; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
999
; The term "out-of-the-blue" refers to SystemVerilog export function calls
1000
; made from C functions that don't have the proper context setup
1001
; (as is the case when running under "DPI-C" import functions).
1002
; When this is enabled, one can call a DPI export function
1003
; (but not task) from any C code.
1004
; the setting of this variable can be one of the following values:
1005
; 0 : dpioutoftheblue call is disabled (default)
1006
; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
1007
; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
1008
; DpiOutOfTheBlue = 1
1009
 
1010
; Specify whether continuous assignments are run before other normal priority
1011
; processes scheduled in the same iteration. This event ordering minimizes race
1012
; differences between optimized and non-optimized designs, and is the default
1013
; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
1014
; ImmediateContinuousAssign to 0.
1015
; The default is 1 (enabled).
1016
; ImmediateContinuousAssign = 0
1017
 
1018
; List of dynamically loaded objects for Verilog PLI applications
1019
; Veriuser = veriuser.sl
1020
 
1021
; Which default VPI object model should the tool conform to?
1022
; The 1364 modes are Verilog-only, for backwards compatibility with older
1023
; libraries, and SystemVerilog objects are not available in these modes.
1024
;
1025
; In the absence of a user-specified default, the tool default is the
1026
; latest available LRM behavior.
1027
; Options for PliCompatDefault are:
1028
;  VPI_COMPATIBILITY_VERSION_1364v1995
1029
;  VPI_COMPATIBILITY_VERSION_1364v2001
1030
;  VPI_COMPATIBILITY_VERSION_1364v2005
1031
;  VPI_COMPATIBILITY_VERSION_1800v2005
1032
;  VPI_COMPATIBILITY_VERSION_1800v2008
1033
;
1034
; Synonyms for each string are also recognized:
1035
;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
1036
;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
1037
;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
1038
;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
1039
;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
1040
 
1041
 
1042
; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
1043
 
1044
; Specify whether the Verilog system task $fopen or vpi_mcd_open()
1045
; will create directories that do not exist when opening the file
1046
; in "a" or "w" mode.
1047
; The default is 0 (do not create non-existent directories)
1048
; CreateDirForFileAccess = 1
1049
 
1050
; Specify default options for the restart command. Options can be one
1051
; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
1052
; DefaultRestartOptions = -force
1053
 
1054
 
1055
; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
1056
; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe.
1057
; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
1058
; The list of options must be delimited by commas, without spaces or tabs.
1059
; The default is UVMControl = struct
1060
 
1061
; Some examples
1062
; To turn on all available UVM-aware debug features:
1063
; UVMControl = all
1064
; To turn on the struct window, mesage logging, and transaction logging:
1065
; UVMControl = struct,msglog,trlog
1066
; To turn on all options except certe:
1067
; UVMControl = all,-certe
1068
; To completely disable all UVM-aware debug functionality:
1069
; UVMControl = disable
1070
 
1071
; Specify the WildcardFilter setting.
1072
; A space separated list of object types to be excluded when performing
1073
; wildcard matches with log, wave, etc commands.  The default value for this variable is:
1074
;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
1075
; See "Using the WildcardFilter Preference Variable" in the documentation for
1076
; details on how to use this variable and for descriptions of the filter types.
1077
WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
1078
 
1079
; Specify the WildcardSizeThreshold setting.
1080
; This integer setting specifies the size at which objects will be excluded when
1081
; performing wildcard matches with log, wave, etc commands.  Objects of size equal
1082
; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
1083
; matches.  The size is a simple calculation of number of bits or items in the object.
1084
; The default value is 8k (8192).  Setting this value to 0 will disable the checking
1085
; of object size against this threshold and allow all objects of any size to be logged.
1086
WildcardSizeThreshold = 8192
1087
 
1088
; Specify whether warning messages are output when objects are filtered out due to the
1089
; WildcardSizeThreshold.  The default is 0 (no messages generated).
1090
WildcardSizeThresholdVerbose = 0
1091
 
1092
; Turn on (1) or off (0) WLF file compression.
1093
; The default is 1 (compress WLF file).
1094
; WLFCompress = 0
1095
 
1096
; Specify whether to save all design hierarchy (1) in the WLF file
1097
; or only regions containing logged signals (0).
1098
; The default is 0 (save only regions with logged signals).
1099
; WLFSaveAllRegions = 1
1100
 
1101
; WLF file time limit.  Limit WLF file by time, as closely as possible,
1102
; to the specified amount of simulation time.  When the limit is exceeded
1103
; the earliest times get truncated from the file.
1104
; If both time and size limits are specified the most restrictive is used.
1105
; UserTimeUnits are used if time units are not specified.
1106
; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
1107
; WLFTimeLimit = 0
1108
 
1109
; WLF file size limit.  Limit WLF file size, as closely as possible,
1110
; to the specified number of megabytes.  If both time and size limits
1111
; are specified then the most restrictive is used.
1112
; The default is 0 (no limit).
1113
; WLFSizeLimit = 1000
1114
 
1115
; Specify whether or not a WLF file should be deleted when the
1116
; simulation ends.  A value of 1 will cause the WLF file to be deleted.
1117
; The default is 0 (do not delete WLF file when simulation ends).
1118
; WLFDeleteOnQuit = 1
1119
 
1120
; Specify whether or not a WLF file should be optimized during
1121
; simulation.  If set to 0, the WLF file will not be optimized.
1122
; The default is 1, optimize the WLF file.
1123
; WLFOptimize = 0
1124
 
1125
; Specify the name of the WLF file.
1126
; The default is vsim.wlf
1127
; WLFFilename = vsim.wlf
1128
 
1129
; Specify whether to lock the WLF file.
1130
; Locking the file prevents other invocations of ModelSim/Questa tools from
1131
; inadvertently overwriting the WLF file.
1132
; The default is 1, lock the WLF file.
1133
; WLFFileLock = 0
1134
 
1135
; Specify the update interval for the WLF file.
1136
; Value is the number of seconds between updated.  After at least the
1137
; interval number of seconds, the wlf file is flushed, ensuring that the data
1138
; is correct when viewed from a separate live viewer.  Setting to 0 means no
1139
; updating.  Default is 10 seconds, which has a tiny performance impact
1140
; WLFUpdateInterval = 10
1141
 
1142
; Specify the WLF reader cache size limit for each open WLF file.
1143
; The size is giving in megabytes.  A value of 0 turns off the
1144
; WLF cache.
1145
; WLFSimCacheSize allows a different cache size to be set for
1146
; simulation WLF file independent of post-simulation WLF file
1147
; viewing.  If WLFSimCacheSize is not set it defaults to the
1148
; WLFCacheSize setting.
1149
; The default WLFCacheSize setting is enabled to 2000M per open WLF file on most
1150
; platforms; on Windows, the setting is 1000M to help avoid filling process memory.
1151
; WLFCacheSize = 2000
1152
; WLFSimCacheSize = 500
1153
 
1154
; Specify the WLF file event collapse mode.
1155
; 0 = Preserve all events and event order. (same as -wlfnocollapse)
1156
; 1 = Only record values of logged objects at the end of a simulator iteration.
1157
;     (same as -wlfcollapsedelta)
1158
; 2 = Only record values of logged objects at the end of a simulator time step.
1159
;     (same as -wlfcollapsetime)
1160
; The default is 1.
1161
; WLFCollapseMode = 0
1162
 
1163
; Specify whether WLF file logging can use threads on multi-processor machines
1164
; if 0, no threads will be used, if 1, threads will be used if the system has
1165
; more than one processor
1166
; WLFUseThreads = 1
1167
 
1168
; Specify the size of objects that will trigger "large object" messages
1169
; at log/wave/list time.  The size calculation of the object is the same as that
1170
; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
1171
; Setting LargeObjectSize to 0 will disable these messages.
1172
; LargeObjectSize = 500000
1173
 
1174
; Turn on/off undebuggable SystemC type warnings. Default is on.
1175
; ShowUndebuggableScTypeWarning = 0
1176
 
1177
; Turn on/off unassociated SystemC name warnings. Default is off.
1178
; ShowUnassociatedScNameWarning = 1
1179
 
1180
; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
1181
; ScShowIeeeDeprecationWarnings = 1
1182
 
1183
; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
1184
; ScEnableScSignalWriteCheck = 1
1185
 
1186
; Set SystemC default time unit.
1187
; Set to fs, ps, ns, us, ms, or sec with optional
1188
; prefix of 1, 10, or 100.  The default is 1 ns.
1189
; The ScTimeUnit value is honored if it is coarser than Resolution.
1190
; If ScTimeUnit is finer than Resolution, it is set to the value
1191
; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
1192
; then the default time unit will be 1 ns.  However if Resolution
1193
; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
1194
ScTimeUnit = ns
1195
 
1196
; Set SystemC sc_main stack size. The stack size is set as an integer
1197
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1198
; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
1199
; on the amount of data on the sc_main() stack and the memory required
1200
; to succesfully execute the longest function call chain of sc_main().
1201
ScMainStackSize = 10 Mb
1202
 
1203
; Set SystemC thread stack size. The stack size is set as an integer
1204
; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
1205
; Gb(Giga-byte). The stack size for sc_thread depends
1206
; on the amount of data on the sc_thread stack and the memory required
1207
; to succesfully execute the thread.
1208
; ScStackSize = 1 Mb
1209
 
1210
; Turn on/off execution of remainder of sc_main upon quitting the current
1211
; simulation session. If the cumulative length of sc_main() in terms of
1212
; simulation time units is less than the length of the current simulation
1213
; run upon quit or restart, sc_main() will be in the middle of execution.
1214
; This switch gives the option to execute the remainder of sc_main upon
1215
; quitting simulation. The drawback of not running sc_main till the end
1216
; is memory leaks for objects created by sc_main. If on, the remainder of
1217
; sc_main will be executed ignoring all delays. This may cause the simulator
1218
; to crash if the code in sc_main is dependent on some simulation state.
1219
; Default is on.
1220
ScMainFinishOnQuit = 1
1221
 
1222
; Set the SCV relationship name that will be used to identify phase
1223
; relations.  If the name given to a transactor relation matches this
1224
; name, the transactions involved will be treated as phase transactions
1225
ScvPhaseRelationName = mti_phase
1226
 
1227
; Customize the vsim kernel shutdown behavior at the end of the simulation.
1228
; Some common causes of the end of simulation are $finish (implicit or explicit),
1229
; sc_stop(), tf_dofinish(), and assertion failures.
1230
; This should be set to "ask", "exit", or "stop". The default is "ask".
1231
; "ask"   -- In batch mode, the vsim kernel will abruptly exit.
1232
;            In GUI mode, a dialog box will pop up and ask for user confirmation
1233
;            whether or not to quit the simulation.
1234
; "stop"  -- Cause the simulation to stay loaded in memory. This can make some
1235
;            post-simulation tasks easier.
1236
; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
1237
; "final" -- Run SystemVerilog final blocks then behave as "stop".
1238
; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
1239
OnFinish = ask
1240
 
1241
; Print pending deferred assertion messages.
1242
; Deferred assertion messages may be scheduled after the $finish in the same
1243
; time step. Deferred assertions scheduled to print after the $finish are
1244
; printed before exiting with severity level NOTE since it's not known whether
1245
; the assertion is still valid due to being printed in the active region
1246
; instead of the reactive region where they are normally printed.
1247
; OnFinishPendingAssert = 1;
1248
 
1249
; Print "simstats" result
1250
; 0 == do not print simstats
1251
; 1 == print at end of simulation
1252
; 2 == print at end of run
1253
; 3 == print at end of run and end of simulation
1254
; default == 0
1255
; PrintSimStats = 1
1256
 
1257
 
1258
; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
1259
; AssertFile = assert.log
1260
 
1261
; Enable assertion counts. Default is off.
1262
; AssertionCover = 1
1263
 
1264
; Run simulator in assertion debug mode. Default is off.
1265
; AssertionDebug = 1
1266
 
1267
; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
1268
; AssertionEnable = 0
1269
 
1270
; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
1271
; Any positive integer, -1 for infinity.
1272
; AssertionLimit = 1
1273
 
1274
; Turn on/off concurrent assertion pass log. Default is off.
1275
; Assertion pass logging is only enabled when assertion is browseable
1276
; and assertion debug is enabled.
1277
; AssertionPassLog = 1
1278
 
1279
; Turn on/off PSL concurrent assertion fail log. Default is on.
1280
; The flag does not affect SVA
1281
; AssertionFailLog = 0
1282
 
1283
; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
1284
; AssertionFailLocalVarLog = 0
1285
 
1286
; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
1287
; 0 = Continue  1 = Break  2 = Exit
1288
; AssertionFailAction = 1
1289
 
1290
; Enable the active thread monitor in the waveform display when assertion debug is enabled.
1291
; AssertionActiveThreadMonitor = 1
1292
 
1293
; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
1294
; AssertionActiveThreadMonitorLimit = 5
1295
 
1296
; Assertion thread limit after which assertion would be killed/switched off.
1297
; The default is -1 (unlimited). If the number of threads for an assertion go
1298
; beyond this limit, the assertion would be either switched off or killed. This
1299
; limit applies to only assert directives.
1300
;AssertionThreadLimit = -1
1301
 
1302
; Action to be taken once the assertion thread limit is reached. Default
1303
; is kill. It can have a value of off or kill. In case of kill, all the existing
1304
; threads are terminated and no new attempts are started. In case of off, the
1305
; existing attempts keep on evaluating but no new attempts are started. This
1306
; variable applies to only assert directives.
1307
;AssertionThreadLimitAction = kill
1308
 
1309
; Cover thread limit after which cover would be killed/switched off.
1310
; The default is -1 (unlimited). If the number of threads for a cover go
1311
; beyond this limit, the cover would be either switched off or killed. This
1312
; limit applies to only cover directives.
1313
;CoverThreadLimit = -1
1314
 
1315
; Action to be taken once the cover thread limit is reached. Default
1316
; is kill. It can have a value of off or kill. In case of kill, all the existing
1317
; threads are terminated and no new attempts are started. In case of off, the
1318
; existing attempts keep on evaluating but no new attempts are started. This
1319
; variable applies to only cover directives.
1320
;CoverThreadLimitAction = kill
1321
 
1322
 
1323
; By default immediate assertions do not participate in Assertion Coverage calculations
1324
; unless they are executed.  This switch causes all immediate assertions in the design
1325
; to participate in Assertion Coverage calculations, whether attempted or not.
1326
; UnattemptedImmediateAssertions = 0
1327
 
1328
; By default immediate covers participate in Coverage calculations
1329
; whether they are attempted or not. This switch causes all unattempted
1330
; immediate covers in the design to stop participating in Coverage
1331
; calculations.
1332
; UnattemptedImmediateCovers = 0
1333
 
1334
; By default pass action block is not executed for assertions on vacuous
1335
; success. The following variable is provided to enable execution of
1336
; pass action block on vacuous success. The following variable is only effective
1337
; if the user does not disable pass action block execution by using either
1338
; system tasks or CLI. Also there is a performance penalty for enabling
1339
; the following variable.
1340
;AssertionEnableVacuousPassActionBlock = 1
1341
 
1342
; As per strict 1850-2005 PSL LRM, an always property can either pass
1343
; or fail. However, by default, Questa reports multiple passes and
1344
; multiple fails on top always/never property (always/never operator
1345
; is the top operator under Verification Directive). The reason
1346
; being that Questa reports passes and fails on per attempt of the
1347
; top always/never property. Use the following flag to instruct
1348
; Questa to strictly follow LRM. With this flag, all assert/never
1349
; directives will start an attempt once at start of simulation.
1350
; The attempt can either fail, match or match vacuously.
1351
; For e.g. if always is the top operator under assert, the always will
1352
; keep on checking the property at every clock. If the property under
1353
; always fails, the directive will be considered failed and no more
1354
; checking will be done for that directive. A top always property,
1355
; if it does not fail, will show a pass at end of simulation.
1356
; The default value is '0' (i.e. zero is off). For example:
1357
; PslOneAttempt = 1
1358
 
1359
; Specify the number of clock ticks to represent infinite clock ticks.
1360
; This affects eventually!, until! and until_!. If at End of Simulation
1361
; (EOS) an active strong-property has not clocked this number of
1362
; clock ticks then neither pass or fail (vacuous match) is returned
1363
; else respective fail/pass is returned. The default value is '0' (zero)
1364
; which effectively does not check for clock tick condition. For example:
1365
; PslInfinityThreshold = 5000
1366
 
1367
; Control how many thread start times will be preserved for ATV viewing for a given assertion
1368
; instance.  Default is -1 (ALL).
1369
; ATVStartTimeKeepCount = -1
1370
 
1371
; Turn on/off code coverage
1372
; CodeCoverage = 0
1373
 
1374
; This option applies to condition and expression coverage UDP tables. It
1375
; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
1376
; If this option is used and a match occurs in more than one row in the UDP table,
1377
; none of the counts for all matching rows is incremented. By default, counts are
1378
; incremented for all matching rows.
1379
; CoverCountAll = 1
1380
 
1381
; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
1382
; is to include them.
1383
; ToggleNoIntegers = 1
1384
 
1385
; Set the maximum number of values that are collected for toggle coverage of
1386
; VHDL integers. Default is 100;
1387
; ToggleMaxIntValues = 100
1388
 
1389
; Set the maximum number of values that are collected for toggle coverage of
1390
; Verilog real. Default is 100;
1391
; ToggleMaxRealValues = 100
1392
 
1393
; Turn on automatic inclusion of Verilog integers in toggle coverage, except
1394
; for enumeration types. Default is to include them.
1395
; ToggleVlogIntegers = 0
1396
 
1397
; Turn on automatic inclusion of Verilog real type in toggle coverage, except
1398
; for shortreal types. Default is to not include them.
1399
; ToggleVlogReal = 1
1400
 
1401
; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
1402
; and VHDL arrays-of-arrays in toggle coverage.
1403
; Default is to not include them.
1404
; ToggleFixedSizeArray = 1
1405
 
1406
; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
1407
; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
1408
; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
1409
; Default is 1024.
1410
; ToggleMaxFixedSizeArray = 1024
1411
 
1412
; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
1413
; one-dimensional packed vectors for toggle coverage. Default is 0.
1414
; TogglePackedAsVec = 0
1415
 
1416
; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
1417
; toggle coverage. Default is 0.
1418
; ToggleVlogEnumBits = 0
1419
 
1420
; Turn off automatic inclusion of VHDL records in toggle coverage.
1421
; Default is to include them.
1422
; ToggleVHDLRecords = 0
1423
 
1424
; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
1425
; For unlimited width, set to 0.
1426
; ToggleWidthLimit = 128
1427
 
1428
; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
1429
; reached this count, further activity on the bit is ignored. Default is 1.
1430
; For unlimited counts, set to 0.
1431
; ToggleCountLimit = 1
1432
 
1433
; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
1434
; Following is the toggle coverage calculation criteria based on extended toggle mode:
1435
; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
1436
; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
1437
; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
1438
; ExtendedToggleMode = 3
1439
 
1440
; Enable toggle statistics collection only for ports. Default is 0.
1441
; TogglePortsOnly = 1
1442
 
1443
; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
1444
; reached this count, further tracking of the input patterns linked to it is ignored.
1445
; Default is 1. For unlimited counts, set to 0.
1446
; NOTE: Changing this value from its default value may affect simulation performance.
1447
; FecCountLimit = 1
1448
 
1449
; Limit the counts that are tracked for UDP Coverage. When a bin has
1450
; reached this count, further tracking of the input patterns linked to it is ignored.
1451
; Default is 1. For unlimited counts, set to 0.
1452
; NOTE: Changing this value from its default value may affect simulation performance.
1453
; UdpCountLimit = 1
1454
 
1455
; Control toggle coverage deglitching period. A period of 0, eliminates delta
1456
; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either
1457
; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
1458
; ToggleDeglitchPeriod = 10.0ps
1459
 
1460
; Turn on/off all PSL/SVA cover directive enables.  Default is on.
1461
; CoverEnable = 0
1462
 
1463
; Turn on/off PSL/SVA cover log.  Default is off "0".
1464
; CoverLog = 1
1465
 
1466
; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
1467
; CoverAtLeast = 2
1468
 
1469
; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
1470
; Any positive integer, -1 for infinity.
1471
; CoverLimit = 1
1472
 
1473
; Specify the coverage database filename.
1474
; Default is "" (i.e. database is NOT automatically saved on close).
1475
; UCDBFilename = vsim.ucdb
1476
 
1477
; Specify the maximum limit for the number of Cross (bin) products reported
1478
; in XML and UCDB report against a Cross. A warning is issued if the limit
1479
; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
1480
; setting.
1481
; MaxReportRhsSVCrossProducts = 1000
1482
 
1483
; Specify the override for the "auto_bin_max" option for the Covergroups.
1484
; If not specified then value from Covergroup "option" is used.
1485
; SVCoverpointAutoBinMax = 64
1486
 
1487
; Specify the override for the value of "cross_num_print_missing"
1488
; option for the Cross in Covergroups. If not specified then value
1489
; specified in the "option.cross_num_print_missing" is used. This
1490
; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
1491
; value specified by user in source file and any SVCrossNumPrintMissingDefault
1492
; specified in modelsim.ini.
1493
; SVCrossNumPrintMissing = 0
1494
 
1495
; Specify whether to use the value of "cross_num_print_missing"
1496
; option in report and GUI for the Cross in Covergroups. If not specified then
1497
; cross_num_print_missing is ignored for creating reports and displaying
1498
; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
1499
; UseSVCrossNumPrintMissing = 0
1500
 
1501
; Specify the threshold of Coverpoint wildcard bin value range size, above which
1502
; a warning will be triggered. The default is 4K -- 12 wildcard bits.
1503
; SVCoverpointWildCardBinValueSizeWarn = 4096
1504
 
1505
; Specify the override for the value of "strobe" option for the
1506
; Covergroup Type. If not specified then value in "type_option.strobe"
1507
; will be used. This is runtime option which forces "strobe" to
1508
; user specified value and supersedes user specified values in the
1509
; SystemVerilog Code. NOTE: This also overrides the compile time
1510
; default value override specified using "SVCovergroupStrobeDefault"
1511
; SVCovergroupStrobe = 0
1512
 
1513
; Override for explicit assignments in source code to "option.goal" of
1514
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1515
; default value of "option.goal" (defined to be 100 in the SystemVerilog
1516
; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
1517
; SVCovergroupGoal = 100
1518
 
1519
; Override for explicit assignments in source code to "type_option.goal" of
1520
; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
1521
; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
1522
; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
1523
; SVCovergroupTypeGoal = 100
1524
 
1525
; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
1526
; builtin functions, and report. This setting changes the default values of
1527
; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
1528
; behavior if explicit assignments are not made on option.get_inst_coverage and
1529
; type_option.merge_instances by the user. There are two vsim command line
1530
; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
1531
; The default value of this variable from release 6.6 onwards is 0. This default
1532
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1533
; SVCovergroup63Compatibility = 0
1534
 
1535
; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
1536
; and report. This variable sets the default value of type_option.merge_instances.
1537
; There are two vsim command line options, -cvgmergeinstances and
1538
; -nocvgmergeinstances to override this setting from vsim command line.
1539
; The default value of this variable is 0. This default
1540
; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
1541
; SVCovergroupMergeInstancesDefault = 0
1542
 
1543
; Enable or disable generation of more detailed information about the sampling
1544
; of covergroup, cross, and coverpoints. It provides the details of the number
1545
; of times the covergroup instance and type were sampled, as well as details
1546
; about why covergroup, cross and coverpoint were not covered. A non-zero value
1547
; is to enable this feature. 0 is to disable this feature. Default is 0
1548
; SVCovergroupSampleInfo = 0
1549
 
1550
; Specify the maximum number of Coverpoint bins in whole design for
1551
; all Covergroups.
1552
; MaxSVCoverpointBinsDesign = 2147483648
1553
 
1554
; Specify maximum number of Coverpoint bins in any instance of a Covergroup
1555
; MaxSVCoverpointBinsInst = 2147483648
1556
 
1557
; Specify the maximum number of Cross bins in whole design for
1558
; all Covergroups.
1559
; MaxSVCrossBinsDesign = 2147483648
1560
 
1561
; Specify maximum number of Cross bins in any instance of a Covergroup
1562
; MaxSVCrossBinsInst = 2147483648
1563
 
1564
; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
1565
; By default, this variable is set 0, in which case option.no_collect setting will take effect.
1566
; If this variable is set to 1, all zero-weight coverage items will not be saved.
1567
; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting
1568
; of this variable.
1569
; CvgZWNoCollect = 1
1570
 
1571
; Specify a space delimited list of double quoted TCL style
1572
; regular expressions which will be matched against the text of all messages.
1573
; If any regular expression is found to be contained within any message, the
1574
; status for that message will not be propagated to the UCDB TESTSTATUS.
1575
; If no match is detected, then the status will be propagated to the
1576
; UCDB TESTSTATUS. More than one such regular expression text is allowed,
1577
; and each message text is compared for each regular expression in the list.
1578
; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message"
1579
 
1580
; Set weight for all PSL/SVA cover directives.  Default is 1.
1581
; CoverWeight = 2
1582
 
1583
; Check vsim plusargs.  Default is 0 (off).
1584
; 0 = Don't check plusargs
1585
; 1 = Warning on unrecognized plusarg
1586
; 2 = Error and exit on unrecognized plusarg
1587
; CheckPlusargs = 1
1588
 
1589
; Load the specified shared objects with the RTLD_GLOBAL flag.
1590
; This gives global visibility to all symbols in the shared objects,
1591
; meaning that subsequently loaded shared objects can bind to symbols
1592
; in the global shared objects.  The list of shared objects should
1593
; be whitespace delimited.  This option is not supported on the
1594
; Windows or AIX platforms.
1595
; GlobalSharedObjectList = example1.so example2.so example3.so
1596
 
1597
; Initial seed for the random number generator of the root thread (SystemVerilog).
1598
; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
1599
; The default value is 0.
1600
; Sv_Seed = 0
1601
 
1602
; Specify the solver "engine" that vsim will select for constrained random
1603
; generation.
1604
; Valid values are:
1605
;    "auto" - automatically select the best engine for the current
1606
;             constraint scenario
1607
;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
1608
;    "act"  - evaluate all constraint scenarios using the ACT solver engine
1609
; While the BDD solver engine is generally efficient with constraint scenarios
1610
; involving bitwise logical relationships, the ACT solver engine can exhibit
1611
; superior performance with constraint scenarios involving large numbers of
1612
; random variables related via arithmetic operators (+, *, etc).
1613
; NOTE: This variable can be overridden with the vsim "-solveengine" command
1614
; line switch.
1615
; The default value is "auto".
1616
; SolveEngine = auto
1617
 
1618
; Specify if the solver should attempt to ignore overflow/underflow semantics
1619
; for arithmetic constraints (multiply, addition, subtraction) in order to
1620
; improve performance. The "solveignoreoverflow" attribute can be specified on
1621
; a per-call basis to randomize() to override this setting.
1622
; The default value is 0 (overflow/underflow is not ignored). Set to 1 to
1623
; ignore overflow/underflow.
1624
; SolveIgnoreOverflow = 0
1625
 
1626
; Specifies the maximum size that a dynamic array may be resized to by the
1627
; solver. If the solver attempts to resize a dynamic array to a size greater
1628
; than the specified limit, the solver will abort with an error.
1629
; The default value is 2000. A value of 0 indicates no limit.
1630
; SolveArrayResizeMax = 2000
1631
 
1632
; Error message severity when randomize() failure is detected (SystemVerilog).
1633
; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
1634
; The default is 0 (no error).
1635
; SolveFailSeverity = 0
1636
 
1637
; Enable/disable debug information for randomize() failures.
1638
; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
1639
; line switch.
1640
; The default is 0 (disabled). Set to 1 to enable basic debug (with no
1641
; performance penalty). Set to 2 for enhanced debug (will result in slower
1642
; runtime performance).
1643
; SolveFailDebug = 0
1644
 
1645
; Specify the maximum size of the solution graph generated by the BDD solver.
1646
; This value can be used to force the BDD solver to abort the evaluation of a
1647
; complex constraint scenario that cannot be evaluated with finite memory.
1648
; This value is specified in 1000s of nodes.
1649
; The default value is 10000. A value of 0 indicates no limit.
1650
; SolveGraphMaxSize = 10000
1651
 
1652
; Specify the maximum number of evaluations that may be performed on the
1653
; solution graph by the BDD solver. This value can be used to force the BDD
1654
; solver to abort the evaluation of a complex constraint scenario that cannot
1655
; be evaluated in finite time. This value is specified in 10000s of evaluations.
1656
; The default value is 10000. A value of 0 indicates no limit.
1657
; SolveGraphMaxEval = 10000
1658
 
1659
; Specify the maximum number of tests that the ACT solver may evaluate before
1660
; abandoning an attempt to solve a particular constraint scenario.
1661
; The default value is 2000000.  A value of 0 indicates no limit.
1662
; SolveACTMaxTests = 2000000
1663
 
1664
; Specify the maximum number of operations that the ACT solver may perform
1665
; before abandoning an attempt to solve a particular constraint scenario.  The
1666
; value is specified in 1000000s of operations.
1667
; The default value is 10000. A value of 0 indicates no limit.
1668
; SolveACTMaxOps = 10000
1669
 
1670
; Specify the number of times the ACT solver will retry to evaluate a constraint
1671
; scenario that fails due to the SolveACTMax[Tests|Ops] threshold.
1672
; The default value is 0 (no retry).
1673
; SolveACTRetryCount = 0
1674
 
1675
; Use SolveFlags to specify options that will guide the behavior of the
1676
; constraint solver. These options may improve the performance of the
1677
; constraint solver for some testcases, and decrease the performance of the
1678
; constraint solver for others.
1679
; Valid flags are:
1680
;    i = disable bit interleaving for >, >=, <, <= constraints (BDD engine)
1681
;    n = disable bit interleaving for all constraints (BDD engine)
1682
;    r = reverse bit interleaving (BDD engine)
1683
; The default value is "" (no options).
1684
; SolveFlags =
1685
 
1686
; Specify random sequence compatiblity with a prior letter release. This
1687
; option is used to get the same random sequences during simulation as
1688
; as a prior letter release. Only prior letter releases (of the current
1689
; number release) are allowed.
1690
; NOTE: Only those random sequence changes due to solver optimizations are
1691
; reverted by this variable. Random sequence changes due to solver bugfixes
1692
; cannot be un-done.
1693
; NOTE: This variable can be overridden with the vsim "-solverev" command
1694
; line switch.
1695
; Default value set to "" (no compatibility).
1696
; SolveRev =
1697
 
1698
; Environment variable expansion of command line arguments has been depricated
1699
; in favor shell level expansion.  Universal environment variable expansion
1700
; inside -f files is support and continued support for MGC Location Maps provide
1701
; alternative methods for handling flexible pathnames.
1702
; The following line may be uncommented and the value set to 1 to re-enable this
1703
; deprecated behavior.  The default value is 0.
1704
; DeprecatedEnvironmentVariableExpansion = 0
1705
 
1706
; Specify the memory threshold for the System Verilog garbage collector.
1707
; The value is the number of megabytes of class objects that must accumulate
1708
; before the garbage collector is run.
1709
; The GCThreshold setting is used when class debug mode is disabled to allow
1710
; less frequent garbage collection and better simulation performance.
1711
; The GCThresholdClassDebug setting is used when class debug mode is enabled
1712
; to allow for more frequent garbage collection.
1713
; GCThreshold = 100
1714
; GCThresholdClassDebug = 5
1715
 
1716
; Turn on/off collapsing of bus ports in VCD dumpports output
1717
DumpportsCollapse = 1
1718
 
1719
; Location of Multi-Level Verification Component (MVC) installation.
1720
; The default location is the product installation directory.
1721
MvcHome = $MODEL_TECH/..
1722
 
1723
; Initialize SystemVerilog enums using the base type's default value
1724
; instead of the leftmost value.
1725
; EnumBaseInit = 1
1726
 
1727
; Suppress file type registration.
1728
; SuppressFileTypeReg = 1
1729
 
1730
; Controls SystemVerilog Language Extensions.  These options enable
1731
; some non-LRM compliant behavior.  Valid extensions are "feci",
1732
; "pae", "uslt", "spsl" and "sccts".
1733
; SVExtensions = uslt,spsl,sccts
1734
 
1735
; Controls the formatting of '%p' and '%P' conversion specification, used in $display
1736
; and similar system tasks.
1737
; 1. SVPrettyPrintFlags=I use  spaces(S) or tabs(T) per indentation level.
1738
;    The 'I' flag when present causes relevant data types to be expanded and indented into
1739
;    a more readable format.
1740
;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
1741
; 2. SVPrettyPrintFlags=L limits the output to  lines.
1742
;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
1743
; 3. SVPrettyPrintFlags=C limits the output to  characters.
1744
;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
1745
; 4. SVPrettyPrintFlags=F limits the output to  of relevant datatypes
1746
;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
1747
; 5. SVPrettyPrintFlags=E limits the output to  of relevant datatypes
1748
;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
1749
; 6. SVPrettyPrintFlags=D suppresses the output of sub-elements below .
1750
;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
1751
; 7. Items 1-6 above can be combined as a comma separated list.
1752
;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5)
1753
; SVPrettyPrintFlags=I4S
1754
 
1755
WLFSaveAllRegions = 1
1756
DelayFileOpen = 1
1757
[lmc]
1758
; The simulator's interface to Logic Modeling's SmartModel SWIFT software
1759
libsm = $MODEL_TECH/libsm.sl
1760
; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
1761
; libsm = $MODEL_TECH/libsm.dll
1762
;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
1763
; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
1764
;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
1765
; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
1766
;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
1767
; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
1768
;  Logic Modeling's SmartModel SWIFT software (Windows NT)
1769
; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
1770
;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
1771
; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
1772
;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
1773
; libswift = $LMC_HOME/lib/linux.lib/libswift.so
1774
 
1775
; The simulator's interface to Logic Modeling's hardware modeler SFI software
1776
libhm = $MODEL_TECH/libhm.sl
1777
; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
1778
; libhm = $MODEL_TECH/libhm.dll
1779
;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
1780
; libsfi = /lib/hp700/libsfi.sl
1781
;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
1782
; libsfi = /lib/rs6000/libsfi.a
1783
;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
1784
; libsfi = /lib/sun4.solaris/libsfi.so
1785
;  Logic Modeling's hardware modeler SFI software (Windows NT)
1786
; libsfi = /lib/pcnt/lm_sfi.dll
1787
;  Logic Modeling's hardware modeler SFI software (Linux)
1788
; libsfi = /lib/linux/libsfi.so
1789
 
1790
[msg_system]
1791
; Change a message severity or suppress a message.
1792
; The format is:  = [,...]
1793
; suppress can be used to achieve +nowarn functionality
1794
; The format is: suppress = ,,[,,...]
1795
; Examples:
1796
suppress = 8780 ;an explanation can be had by running: verror 8780
1797
;   note = 3009
1798
;   warning = 3033
1799
;   error = 3010,3016
1800
;   fatal = 3016,3033
1801
;   suppress = 3009,3016,3043
1802
;   suppress = 3009,CNNODP,3043,TFMPC
1803
;   suppress = 8683,8684
1804
; The command verror  can be used to get the complete
1805
; description of a message.
1806
 
1807
; Control transcripting of Verilog display system task messages and
1808
; PLI/FLI print function call messages.  The system tasks include
1809
; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
1810
; also include the analogous file I/O tasks that write to STDOUT
1811
; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
1812
; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
1813
; is to have messages appear only in the transcript.  The other
1814
; settings are to send messages to the wlf file only (messages that
1815
; are recorded in the wlf file can be viewed in the MsgViewer) or
1816
; to both the transcript and the wlf file.  The valid values are
1817
;    tran  {transcript only (default)}
1818
;    wlf   {wlf file only}
1819
;    both  {transcript and wlf file}
1820
; displaymsgmode = tran
1821
 
1822
; Control transcripting of elaboration/runtime messages not
1823
; addressed by the displaymsgmode setting.  The default is to
1824
; have messages appear only in the transcript.  The other settings
1825
; are to send messages to the wlf file only (messages that are
1826
; recorded in the wlf file can be viewed in the MsgViewer) or to both
1827
; the transcript and the wlf file. The valid values are
1828
;    tran  {transcript only (default)}
1829
;    wlf   {wlf file only}
1830
;    both  {transcript and wlf file}
1831
; msgmode = tran
1832
 
1833
[utils]
1834
; Default Library Type
1835
; Set to determine the default type for a library created with "vlib"
1836
;  0 - legacy library using subdirectories for design units
1837
;  1 - archive library (deprecated)
1838
;  2 - flat library
1839
; DefaultLibType = 2
1840
 
1841
; Archive Library Compact Value
1842
; Sets compaction trigger for archive libraries.  The value is the percentage
1843
; of free space in the archive.
1844
; ArchiveLibCompact = 0.5
1845
 
1846
; Flat Library Page Size
1847
; Set the size in bytes for flat library file pages.  Very large libraries
1848
; may benefit from a larger value, at the expense of disk space.
1849
; FlatLibPageSize = 8192
1850
 
1851
; Flat Library Page Cleanup Percentage
1852
; Set the percentage of total pages deleted before library cleanup can occur.
1853
; This setting is applied together with FlatLibPageDeleteThreshold.
1854
; FlatLibPageDeletePercentage = 50
1855
 
1856
; Flat Library Page Cleanup Threshold
1857
; Set the number of pages deleted before library cleanup can occur.
1858
; This setting is applied together with FlatLibPageDeletePercentage.
1859
; FlatLibPageDeleteThreshold = 1000
1860
 
1861
[Project]
1862
; Warning -- Do not edit the project properties directly.
1863
;            Property names are dynamic in nature and property
1864
;            values have special syntax.  Changing property data directly
1865
;            can result in a corrupt MPF file.  All project properties
1866
;            can be modified through project window dialogs.
1867
Project_Version = 6
1868
Project_DefaultLib = work
1869
Project_SortMethod = unused
1870
Project_Files_Count = 83
1871
Project_File_0 = ../../techmap/gencomp/gencomp.vhd
1872
Project_File_P_0 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder gencomp vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 0 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1873
Project_File_1 = ../../riverlib/types_river.vhd
1874
Project_File_P_1 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder riverlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 55 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1875
Project_File_2 = ../../misclib/nasti_uart.vhd
1876
Project_File_P_2 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 36 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1877
Project_File_3 = ../../misclib/tap_jtag.vhd
1878
Project_File_P_3 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder misclib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 45 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1879
Project_File_4 = ../../work/tb/jtag_sim.vhd
1880
Project_File_P_4 = vhdl_novitalcheck 0 file_type vhdl group_id 0 cover_nofec 0 vhdl_nodebug 0 vhdl_1164 1 vhdl_noload 0 vhdl_synth 0 vhdl_enable0In 0 folder tb last_compile 1532618630 vhdl_disableopt 0 vhdl_vital 0 cover_excludedefault 0 vhdl_warn1 1 vhdl_warn2 1 vhdl_explicit 1 vhdl_showsource 0 vhdl_warn3 1 cover_covercells 0 vhdl_0InOptions {} vhdl_warn4 1 voptflow 1 cover_optlevel 3 vhdl_options {} vhdl_warn5 1 toggle - ood 0 cover_noshort 0 compile_to work compile_order 82 cover_nosub 0 dont_compile 0 vhdl_use93 2002
1881
Project_File_5 = ../../techmap/mem/ram32_tech.vhd
1882
Project_File_P_5 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 14 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1883
Project_File_6 = ../../ambalib/types_amba4.vhd
1884
Project_File_P_6 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to ambalib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder ambalib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 3 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1885
Project_File_7 = ../../techmap/mem/sram8_inferred.vhd
1886
Project_File_P_7 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 11 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1887
Project_File_8 = ../../misclib/dcom_uart.vhd
1888
Project_File_P_8 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 44 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1889
Project_File_9 = ../../misclib/nasti_romimage.vhd
1890
Project_File_P_9 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 38 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1891
Project_File_10 = ../../techmap/mem/ram32_inferred.vhd
1892
Project_File_P_10 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 15 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1893
Project_File_11 = ../../ethlib/eth_rstgen.vhd
1894
Project_File_P_11 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 47 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1895
Project_File_12 = ../../techmap/mem/sram8_inferred_init.vhd
1896
Project_File_P_12 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 12 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1897
Project_File_13 = ../../techmap/mem/romprn_inferred.vhd
1898
Project_File_P_13 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 17 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1899
Project_File_14 = ../..//riverlib/core/decoder.vhd
1900
Project_File_P_14 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526377164 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 57 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1901
Project_File_15 = ../../ethlib/eth_axi_mst.vhd
1902
Project_File_P_15 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 50 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1903
Project_File_16 = ../../ethlib/greth_tx.vhd
1904
Project_File_P_16 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 49 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1905
Project_File_17 = ../../riverlib/core/arith/int_mul.vhd
1906
Project_File_P_17 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder arith vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 58 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1907
Project_File_18 = ../../techmap/bufg/types_buf.vhd
1908
Project_File_P_18 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 4 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1909
Project_File_19 = ../../techmap/bufg/ibuf_tech.vhd
1910
Project_File_P_19 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 25 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1911
Project_File_20 = ../../riverlib/river_amba.vhd
1912
Project_File_P_20 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder riverlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 73 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1913
Project_File_21 = ../../techmap/mem/bootrom_inferred.vhd
1914
Project_File_P_21 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 7 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1915
Project_File_22 = ../../techmap/bufg/ibuf_inferred.vhd
1916
Project_File_P_22 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 24 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1917
Project_File_23 = ../../techmap/mem/syncram_2p_tech.vhd
1918
Project_File_P_23 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 18 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1919
Project_File_24 = ../../misclib/reset_glb.vhd
1920
Project_File_P_24 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 32 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1921
Project_File_25 = ../../commonlib/types_util.vhd
1922
Project_File_P_25 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769240 vhdl_showsource 0 compile_to commonlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder commonlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 2 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1923
Project_File_26 = ../../rocketlib/types_rocket.vhd
1924
Project_File_P_26 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769245 vhdl_showsource 0 compile_to rocketlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder rocketlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 75 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1925
Project_File_27 = ../../misclib/dcom_jtag.vhd
1926
Project_File_P_27 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder misclib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 43 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1927
Project_File_28 = ../../misclib/nasti_bootrom.vhd
1928
Project_File_P_28 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 37 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1929
Project_File_29 = ../../riverlib/core/proc.vhd
1930
Project_File_P_29 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526377164 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 68 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1931
Project_File_30 = ../../work/tb/uart_sim.vhd
1932
Project_File_P_30 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder tb cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 79 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1933
Project_File_31 = ../../techmap/bufg/obuf_tech.vhd
1934
Project_File_P_31 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 23 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1935
Project_File_32 = ../../techmap/bufg/idsbuf_tech.vhd
1936
Project_File_P_32 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 27 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1937
Project_File_33 = ../../techmap/pll/SysPLL_inferred.vhd
1938
Project_File_P_33 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder pll vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 20 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1939
Project_File_34 = ../../techmap/bufg/obuf_inferred.vhd
1940
Project_File_P_34 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 31 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1941
Project_File_35 = ../../techmap/bufg/iobuf_inferred.vhd
1942
Project_File_P_35 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 29 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1943
Project_File_36 = ../../misclib/nasti_irqctrl.vhd
1944
Project_File_P_36 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 40 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1945
Project_File_37 = ../../misclib/types_misc.vhd
1946
Project_File_P_37 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 34 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1947
Project_File_38 = ../../misclib/nasti_gptimers.vhd
1948
Project_File_P_38 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 41 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1949
Project_File_39 = ../../ethlib/types_eth.vhd
1950
Project_File_P_39 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 46 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1951
Project_File_40 = config_msim.vhd
1952
Project_File_P_40 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1530266563 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder work cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 76 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1953
Project_File_41 = ../../riverlib/river_cfg.vhd
1954
Project_File_P_41 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526383716 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder riverlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 54 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1955
Project_File_42 = ../../ethlib/grethc64.vhd
1956
Project_File_P_42 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 51 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1957
Project_File_43 = ../../riverlib/core/bp_predic.vhd
1958
Project_File_P_43 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1530273384 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 63 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1959
Project_File_44 = ../../ethlib/grethaxi.vhd
1960
Project_File_P_44 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 52 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1961
Project_File_45 = ../../riverlib/core/arith/shift.vhd
1962
Project_File_P_45 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder arith vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 60 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1963
Project_File_46 = ../../techmap/bufg/igdsbuf_tech.vhd
1964
Project_File_P_46 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 28 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1965
Project_File_47 = ../../commonlib/types_common.vhd
1966
Project_File_P_47 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769240 vhdl_showsource 0 compile_to commonlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder commonlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 1 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1967
Project_File_48 = ../../riverlib/cache/icache.vhd
1968
Project_File_P_48 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1530524186 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder cache vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 70 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1969
Project_File_49 = ../../ambalib/axictrl.vhd
1970
Project_File_P_49 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526390838 vhdl_showsource 0 compile_to ambalib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ambalib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 33 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1971
Project_File_50 = ../../techmap/mem/romprn_tech.vhd
1972
Project_File_P_50 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 16 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1973
Project_File_51 = ../../work/config_common.vhd
1974
Project_File_P_51 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1530266563 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder work cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 77 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1975
Project_File_52 = ../../work/tb/ethphy_sim.vhd
1976
Project_File_P_52 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vhdl_disableopt 0 folder tb cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 80 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1977
Project_File_53 = ../../techmap/bufg/ibufg_tech.vhd
1978
Project_File_P_53 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 26 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1979
Project_File_54 = ../../techmap/mem/bootrom_tech.vhd
1980
Project_File_P_54 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 8 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1981
Project_File_55 = ../../techmap/mem/srambytes_tech.vhd
1982
Project_File_P_55 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 13 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1983
Project_File_56 = ../../techmap/pll/types_pll.vhd
1984
Project_File_P_56 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder pll vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 5 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1985
Project_File_57 = ../../riverlib/core/stacktrbuf.vhd
1986
Project_File_P_57 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 66 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1987
Project_File_58 = ../../riverlib/cache/cache_top.vhd
1988
Project_File_P_58 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder cache vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 71 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1989
Project_File_59 = ../../riverlib/core/dbg_port.vhd
1990
Project_File_P_59 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 67 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1991
Project_File_60 = ../../misclib/nasti_gpio.vhd
1992
Project_File_P_60 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 35 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
1993
Project_File_61 = ../../riverlib/core/regibank.vhd
1994
Project_File_P_61 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 64 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1995
Project_File_62 = ../../riverlib/core/arith/int_div.vhd
1996
Project_File_P_62 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder arith vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 59 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1997
Project_File_63 = ../../techmap/pll/SysPLL_tech.vhd
1998
Project_File_P_63 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder pll vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 21 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
1999
Project_File_64 = ../../techmap/mem/romimage_tech.vhd
2000
Project_File_P_64 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 9 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2001
Project_File_65 = ../../techmap/mem/syncram_2p_inferred.vhd
2002
Project_File_P_65 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 19 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2003
Project_File_66 = ../../misclib/nasti_sram.vhd
2004
Project_File_P_66 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 39 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
2005
Project_File_67 = ../../techmap/bufg/iobuf_tech.vhd
2006
Project_File_P_67 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 30 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2007
Project_File_68 = ../../techmap/mem/romimage_inferred.vhd
2008
Project_File_P_68 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 10 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2009
Project_File_69 = ../../riverlib/core/csr.vhd
2010
Project_File_P_69 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526377164 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 65 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2011
Project_File_70 = ../../riverlib/dsu/axi_dsu.vhd
2012
Project_File_P_70 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder dsu vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 74 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2013
Project_File_71 = ../../riverlib/core/memaccess.vhd
2014
Project_File_P_71 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 62 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2015
Project_File_72 = ../../riverlib/river_top.vhd
2016
Project_File_P_72 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder riverlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 72 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2017
Project_File_73 = ../../riverlib/cache/dcache.vhd
2018
Project_File_P_73 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769243 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder cache vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 69 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2019
Project_File_74 = ../../misclib/tap_uart.vhd
2020
Project_File_P_74 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532433966 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 53 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
2021
Project_File_75 = ../../techmap/mem/types_mem.vhd
2022
Project_File_P_75 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder mem vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 6 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2023
Project_File_76 = ../../ethlib/greth_rx.vhd
2024
Project_File_P_76 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to ethlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder ethlib vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 48 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2025
Project_File_77 = ../../work/riscv_soc.vhd
2026
Project_File_P_77 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder work vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 78 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2027
Project_File_78 = ../../techmap/bufg/bufgmux_tech.vhd
2028
Project_File_P_78 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769241 vhdl_showsource 0 compile_to techmap file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder bufg vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 22 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2029
Project_File_79 = ../../misclib/nasti_pnp.vhd
2030
Project_File_P_79 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1525769242 vhdl_showsource 0 compile_to misclib file_type vhdl cover_cond 0 vhdl_disableopt 0 folder misclib cover_fsm 0 vlog_noload 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 42 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - vlog_options {} cover_noshort 0 cover_expr 0 dont_compile 0 cover_stmt 0
2031
Project_File_80 = ../../work/tb/riscv_soc_tb.vhd
2032
Project_File_P_80 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1532618156 vhdl_showsource 0 compile_to work file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder tb vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 81 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2033
Project_File_81 = ../../riverlib/core/fetch.vhd
2034
Project_File_P_81 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526377164 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 56 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2035
Project_File_82 = ../../riverlib/core/execute.vhd
2036
Project_File_P_82 = cover_exttoggle 0 vhdl_noload 0 vlog_nodebug 0 last_compile 1526377164 vhdl_showsource 0 compile_to riverlib file_type vhdl cover_cond 0 vlog_noload 0 cover_fsm 0 folder core vhdl_disableopt 0 cover_excludedefault 0 cover_optlevel 3 vhdl_options {} vlog_showsource 0 vlog_hazard 0 compile_order 61 cover_nosub 0 cover_toggle 0 vlog_protect 0 vhdl_nodebug 0 vhdl_synth 0 vhdl_warn1 1 vlog_disableopt 1 vhdl_warn2 1 vhdl_warn3 1 vhdl_0InOptions {} vlog_optionfile vlog.opt vhdl_warn4 1 voptflow 1 vhdl_warn5 1 ood 0 vlog_upper 0 vhdl_use93 2002 vhdl_novitalcheck 0 vhdl_1164 1 cover_nofec 0 group_id 0 vhdl_enable0In 0 vlog_1995compat 0 cover_branch 0 vlog_enable0In 0 vhdl_vital 0 vhdl_explicit 1 cover_covercells 0 vlog_0InOptions {} toggle - cover_noshort 0 vlog_options {} dont_compile 0 cover_expr 0 cover_stmt 0
2037
Project_Sim_Count = 0
2038
Project_Folder_Count = 17
2039
Project_Folder_0 = ambalib
2040
Project_Folder_P_0 = folder {Top Level}
2041
Project_Folder_1 = core
2042
Project_Folder_P_1 = folder riverlib
2043
Project_Folder_2 = gencomp
2044
Project_Folder_P_2 = folder techmap
2045
Project_Folder_3 = techmap
2046
Project_Folder_P_3 = folder {Top Level}
2047
Project_Folder_4 = dsu
2048
Project_Folder_P_4 = folder riverlib
2049
Project_Folder_5 = bufg
2050
Project_Folder_P_5 = folder techmap
2051
Project_Folder_6 = tb
2052
Project_Folder_P_6 = folder work
2053
Project_Folder_7 = rocketlib
2054
Project_Folder_P_7 = folder {Top Level}
2055
Project_Folder_8 = riverlib
2056
Project_Folder_P_8 = folder {Top Level}
2057
Project_Folder_9 = mem
2058
Project_Folder_P_9 = folder techmap
2059
Project_Folder_10 = ethlib
2060
Project_Folder_P_10 = folder {Top Level}
2061
Project_Folder_11 = pll
2062
Project_Folder_P_11 = folder techmap
2063
Project_Folder_12 = commonlib
2064
Project_Folder_P_12 = folder {Top Level}
2065
Project_Folder_13 = arith
2066
Project_Folder_P_13 = folder core
2067
Project_Folder_14 = misclib
2068
Project_Folder_P_14 = folder {Top Level}
2069
Project_Folder_15 = work
2070
Project_Folder_P_15 = folder {Top Level}
2071
Project_Folder_16 = cache
2072
Project_Folder_P_16 = folder riverlib
2073
Echo_Compile_Output = 0
2074
Save_Compile_Report = 1
2075
Project_Opt_Count = 0
2076
ForceSoftPaths = 0
2077
ProjectStatusDelay = 5000
2078
VERILOG_DoubleClick = Edit
2079
VERILOG_CustomDoubleClick =
2080
SYSTEMVERILOG_DoubleClick = Edit
2081
SYSTEMVERILOG_CustomDoubleClick =
2082
VHDL_DoubleClick = Edit
2083
VHDL_CustomDoubleClick =
2084
PSL_DoubleClick = Edit
2085
PSL_CustomDoubleClick =
2086
TEXT_DoubleClick = Edit
2087
TEXT_CustomDoubleClick =
2088
SYSTEMC_DoubleClick = Edit
2089
SYSTEMC_CustomDoubleClick =
2090
TCL_DoubleClick = Edit
2091
TCL_CustomDoubleClick =
2092
MACRO_DoubleClick = Edit
2093
MACRO_CustomDoubleClick =
2094
VCD_DoubleClick = Edit
2095
VCD_CustomDoubleClick =
2096
SDF_DoubleClick = Edit
2097
SDF_CustomDoubleClick =
2098
XML_DoubleClick = Edit
2099
XML_CustomDoubleClick =
2100
LOGFILE_DoubleClick = Edit
2101
LOGFILE_CustomDoubleClick =
2102
UCDB_DoubleClick = Edit
2103
UCDB_CustomDoubleClick =
2104
TDB_DoubleClick = Edit
2105
TDB_CustomDoubleClick =
2106
UPF_DoubleClick = Edit
2107
UPF_CustomDoubleClick =
2108
PCF_DoubleClick = Edit
2109
PCF_CustomDoubleClick =
2110
PROJECT_DoubleClick = Edit
2111
PROJECT_CustomDoubleClick =
2112
VRM_DoubleClick = Edit
2113
VRM_CustomDoubleClick =
2114
DEBUGDATABASE_DoubleClick = Edit
2115
DEBUGDATABASE_CustomDoubleClick =
2116
DEBUGARCHIVE_DoubleClick = Edit
2117
DEBUGARCHIVE_CustomDoubleClick =
2118
Project_Major_Version = 10
2119
Project_Minor_Version = 4

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.