OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [bufgmux_fpga.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Clock multiplexer with buffered output for Xilinx FPGA.
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library unisim;
11
use unisim.vcomponents.all;
12
 
13
entity bufgmux_fpga is
14
  generic (
15
    rf_frontend_ena : boolean := false
16
  );
17
  port (
18
    O       : out std_ulogic;
19
    I1      : in std_ulogic;
20
    I2      : in std_ulogic;
21
    S       : in std_ulogic
22
    );
23
end;
24
 
25
 
26
architecture rtl of bufgmux_fpga is
27
begin
28
  good : if rf_frontend_ena generate
29
    --! @details BUFGMUX suits much better to switch clock depending DIP[0]
30
    --!          signal, but ISE studio doesn't properly synth. such logic.
31
    --!          So here we will use ADC signal only.
32
    --mux_buf : BUFGMUX
33
    --port map (
34
    --  O   => O,
35
    --  I0  => I1,
36
    --  I1  => I2,
37
    --  S   => S
38
    --);
39
    mux_buf : BUFG
40
    port map (
41
      O  => O,
42
      I  => I1
43
    );
44
  end generate;
45
 
46
  bad : if not rf_frontend_ena generate
47
    mux_buf : BUFG
48
    port map (
49
      O  => O,
50
      I  => I2
51
    );
52
  end generate;
53
 
54
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.