OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [bufgmux_micron180.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Clock multiplexer with buffered output for Mikron 180 nm.
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
 
11
entity bufgmux_micron180 is
12
  port (
13
    O       : out std_ulogic;
14
    I1      : in std_ulogic;
15
    I2      : in std_ulogic;
16
    S       : in std_ulogic
17
    );
18
end;
19
 
20
 
21
architecture rtl of bufgmux_micron180 is
22
begin
23
 
24
    O <= I1 when S = '0' else I2;
25
    -- TODO: clock buffer
26
 
27
 
28
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.