OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [ibuf_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Virtual simple input buffer.
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library techmap;
11
use techmap.gencomp.all;
12
 
13
entity ibuf_tech is
14
  generic
15
  (
16
    generic_tech : integer := 0
17
  );
18
  port (
19
    o  : out std_logic;
20
    i  : in std_logic
21
  );
22
end;
23
 
24
architecture rtl of ibuf_tech is
25
 
26
component ibuf_inferred is
27
  port (
28
    o  : out std_logic;
29
    i  : in std_logic
30
  );
31
end component;
32
 
33
component ibuf_micron180 is
34
  port (
35
    o  : out std_logic;
36
    i  : in std_logic
37
  );
38
end component;
39
 
40
begin
41
 
42
  m180 : if generic_tech = micron180 generate
43
    bufm : ibuf_micron180 port map
44
    (
45
      o => o,
46
      i => i
47
    );
48
  end generate;
49
 
50
  inf0 : if generic_tech /= micron180 generate
51
    bufinf : ibuf_inferred port map
52
    (
53
      o => o,
54
      i => i
55
    );
56
  end generate;
57
 
58
 
59
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.