OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [ibufg_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Virtual clock buffered output.
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
 
11
library techmap;
12
use techmap.gencomp.all;
13
 
14
entity ibufg_tech is
15
  generic
16
  (
17
    tech : integer := 0
18
  );
19
  port (
20
    O    : out std_ulogic;
21
    I    : in std_ulogic
22
    );
23
end;
24
 
25
architecture rtl of ibufg_tech is
26
 
27
  component ibufg_xilinx is
28
  port (
29
    O    : out std_ulogic;
30
    I    : in std_ulogic
31
    );
32
  end component;
33
  signal w_o : std_logic;
34
begin
35
 
36
 
37
   inf : if tech = inferred generate
38
      w_o <= I;
39
   end generate;
40
 
41
   xlnx : if tech = virtex6 or tech = kintex7 generate
42
      x0 : ibufg_xilinx port map (
43
        O  => w_o,
44
        I  => I
45
      );
46
   end generate;
47
 
48
   O <= w_o;
49
 
50
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.