OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [ibufg_xilinx.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Xilinx clock buffered output.
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library unisim;
11
use unisim.vcomponents.all;
12
 
13
entity ibufg_xilinx is
14
  port (
15
    O    : out std_ulogic;
16
    I    : in std_ulogic
17
    );
18
end;
19
 
20
 
21
architecture rtl of ibufg_xilinx is
22
 
23
begin
24
 
25
    bufg0 : BUFG port map (
26
      O  => O,
27
      I  => I
28
    );
29
 
30
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.