OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [idsbuf_xilinx.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Input buffer with the differential signals.
6
----------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library unisim;
11
use unisim.vcomponents.all;
12
 
13
entity idsbuf_xilinx is
14
  port (
15
    clk_p : in std_logic;
16
    clk_n : in std_logic;
17
    o_clk  : out std_logic
18
  );
19
end;
20
 
21
architecture rtl of idsbuf_xilinx is
22
begin
23
 
24
      x1 : IBUFDS  port map (
25
         I     => clk_p,
26
         IB    => clk_n,
27
         O     => o_clk
28
      );
29
 
30
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.