OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [igdsbuf_k7.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Gigabits buffer with the differential signals.
6
----------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library unisim;
11
use unisim.vcomponents.all;
12
 
13
entity igdsbuf_kintex7 is
14
  generic (
15
    generic_tech : integer := 0
16
  );
17
  port (
18
    gclk_p : in std_logic;
19
    gclk_n : in std_logic;
20
    o_clk  : out std_logic
21
  );
22
end;
23
 
24
architecture rtl of igdsbuf_kintex7 is
25
begin
26
 
27
      x1 : IBUFDS_GTE2   port map (
28
         I     => gclk_p,
29
         IB    => gclk_n,
30
         CEB   => '0',
31
         O     => o_clk,
32
         ODIV2 => open
33
      );
34
 
35
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.