OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [iobuf_inferred.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      IO buffer for inferred tech.
6
----------------------------------------------------------------------------
7
library ieee;
8
use ieee.std_logic_1164.all;
9
 
10
 
11
entity iobuf_inferred is
12
  port (
13
    o  : out std_logic;
14
    io : inout std_logic;
15
    i  : in std_logic;
16
    t  : in std_logic
17
  );
18
end;
19
 
20
architecture rtl of iobuf_inferred is
21
  signal ivalue : std_logic;
22
begin
23
 
24
  o <= '0';
25
  io <= 'Z' when t = '1' else to_X01(i);
26
 
27
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.