OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [iobuf_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Virtual IO buffer.
6
----------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library techmap;
11
use techmap.gencomp.all;
12
 
13
 
14
entity iobuf_tech is
15
  generic
16
  (
17
    generic_tech : integer := 0
18
  );
19
  port (
20
    o  : out std_logic;
21
    io : inout std_logic;
22
    i  : in std_logic;
23
    t  : in std_logic
24
  );
25
end;
26
 
27
architecture rtl of iobuf_tech is
28
 
29
component iobuf_inferred is
30
  port (
31
    o  : out std_logic;
32
    io : inout std_logic;
33
    i  : in std_logic;
34
    t  : in std_logic
35
  );
36
end component;
37
 
38
component iobuf_virtex6 is
39
  port (
40
    o  : out std_logic;
41
    io : inout std_logic;
42
    i  : in std_logic;
43
    t  : in std_logic
44
  );
45
end component;
46
 
47
component iobuf_micron180 is
48
  port (
49
    o  : out std_logic;
50
    io : inout std_logic;
51
    i  : in std_logic;
52
    t  : in std_logic
53
  );
54
end component;
55
 
56
begin
57
 
58
  inf0 : if generic_tech = inferred generate
59
    bufinf : iobuf_inferred port map
60
    (
61
      o => o,
62
      io => io,
63
      i => i,
64
      t => t
65
    );
66
  end generate;
67
 
68
  xv6 : if generic_tech = virtex6 or generic_tech = kintex7 generate
69
    bufv6 : iobuf_virtex6 port map
70
    (
71
      o => o,
72
      io => io,
73
      i => i,
74
      t => t
75
    );
76
  end generate;
77
 
78
  m180 : if generic_tech = micron180 generate
79
    bufm : iobuf_micron180 port map
80
    (
81
      o => o,
82
      io => io,
83
      i => i,
84
      t => t
85
    );
86
  end generate;
87
 
88
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.