OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [iobuf_virtex6.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      IO buffer for fpga virtex6.
6
----------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
Library UNISIM;
11
use UNISIM.vcomponents.all;
12
 
13
 
14
entity iobuf_virtex6 is
15
  port (
16
    o  : out std_logic;
17
    io : inout std_logic;
18
    i  : in std_logic;
19
    t  : in std_logic
20
  );
21
end;
22
 
23
architecture rtl of iobuf_virtex6 is
24
 
25
begin
26
 
27
 
28
  io_inst : IOBUF generic map
29
  (
30
    DRIVE => 12,
31
    IOSTANDARD => "DEFAULT",
32
    SLEW => "SLOW"
33
  ) port map
34
  (
35
    O => o,
36
    IO => io,
37
    I => i,
38
    T => t
39
  );
40
 
41
 
42
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.