OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [bufg/] [obuf_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Virtual simple output buffer.
6
----------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library techmap;
11
use techmap.gencomp.all;
12
 
13
 
14
entity obuf_tech is
15
  generic
16
  (
17
    generic_tech : integer := 0
18
  );
19
  port (
20
    o  : out std_logic;
21
    i  : in std_logic
22
  );
23
end;
24
 
25
architecture rtl of obuf_tech is
26
 
27
component obuf_inferred is
28
  port (
29
    o  : out std_logic;
30
    i  : in std_logic
31
  );
32
end component;
33
 
34
component obuf_micron180 is
35
  port (
36
    o  : out std_logic;
37
    i  : in std_logic
38
  );
39
end component;
40
 
41
begin
42
 
43
  m180 : if generic_tech = micron180 generate
44
    bufm : obuf_micron180 port map
45
    (
46
      o => o,
47
      i => i
48
    );
49
  end generate;
50
 
51
  inf0 : if generic_tech /= micron180 generate
52
    bufinf : obuf_inferred port map
53
    (
54
      o => o,
55
      i => i
56
    );
57
  end generate;
58
 
59
 
60
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.