OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [mem/] [ram32_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author    Sergey Khabarov - sergeykhbr@gmail.com
5
--! @brief     Technology specific RAM selector
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library commonlib;
11
use commonlib.types_common.all;
12
library techmap;
13
use techmap.gencomp.all;
14
use techmap.types_mem.all;
15
 
16
entity Ram32_tech is
17
generic (
18
    generic_tech   : integer := 0;
19
    generic_abits : integer := 10
20
);
21
port (
22
    i_clk       : in std_logic;
23
    i_address   : in std_logic_vector(generic_abits-1 downto 0);
24
    i_wr_ena    : in std_logic;
25
    i_data      : in std_logic_vector(31 downto 0);
26
    o_data      : out std_logic_vector(31 downto 0)
27
);
28
end;
29
 
30
architecture rtl of Ram32_tech is
31
 
32
component Ram32_inferred
33
generic (
34
    generic_abits    : integer := 10
35
);
36
port (
37
    i_clk         : in std_logic;
38
    i_address     : in std_logic_vector(generic_abits-1 downto 0);
39
    i_wr_ena      : in std_logic;
40
    i_data       : in std_logic_vector(31 downto 0);
41
    o_data       : out std_logic_vector(31 downto 0)
42
);
43
end component;
44
 
45
-- micron 180 nm tech
46
component micron180_syncram
47
  generic (abits : integer := 10; dbits : integer := 8 );
48
port (
49
    clk      : in std_ulogic;
50
    address  : in std_logic_vector((abits -1) downto 0);
51
    datain   : in std_logic_vector((dbits -1) downto 0);
52
    dataout  : out std_logic_vector((dbits -1) downto 0);
53
    enable   : in std_ulogic;
54
    write    : in std_ulogic
55
   );
56
end component;
57
 
58
-- TODO: add there other ASIC components
59
 
60
begin
61
 
62
  genmem0 : if generic_tech = inferred or is_fpga(generic_tech) /= 0 generate
63
      ram_infer : Ram32_inferred generic map
64
      (
65
        generic_abits => generic_abits
66
      ) port map
67
      (
68
        i_clk,
69
        i_address,
70
        i_wr_ena,
71
        i_data,
72
        o_data
73
      );
74
  end generate;
75
 
76
  genmem1 : if generic_tech = micron180 generate
77
      x0 : micron180_syncram
78
           generic map (generic_abits, 32)
79
           port map (i_clk, i_address, i_data, o_data, '1', i_wr_ena);
80
  end generate;
81
end;
82
 
83
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.