OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [mem/] [romimage_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov - sergeykhbr@gmail.com
5
--! @brief      Technology specific ROM Image with the Firmware
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library techmap;
11
use techmap.gencomp.all;
12
use techmap.types_mem.all;
13
library commonlib;
14
use commonlib.types_common.all;
15
--! AMBA system bus specific library.
16
library ambalib;
17
--! AXI4 configuration constants.
18
use ambalib.types_amba4.all;
19
 
20
entity RomImage_tech is
21
generic (
22
    memtech : integer := 0;
23
    sim_hexfile : string
24
);
25
port (
26
    clk       : in std_logic;
27
    address   : in global_addr_array_type;
28
    data      : out std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0)
29
);
30
end;
31
 
32
architecture arch_RomImage_tech of RomImage_tech is
33
 
34
  component RomImage_inferred is
35
  generic (
36
    hex_filename : string
37
  );
38
  port (
39
    clk     : in  std_ulogic;
40
    address : in  global_addr_array_type;
41
    data    : out std_logic_vector(CFG_NASTI_DATA_BITS-1 downto 0)
42
  );
43
  end component;
44
 
45
begin
46
 
47
  genrom0 : if memtech = inferred or is_fpga(memtech) /= 0 generate
48
      infer0 : RomImage_inferred  generic map (
49
        hex_filename => sim_hexfile
50
      ) port map (clk, address, data);
51
  end generate;
52
 
53
end;
54
 
55
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.