OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [mem/] [romprn_micron180.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov
5
--! @brief      Galileo Reference E1 codes.
6
------------------------------------------------------------------------------
7
library ieee;
8
use ieee.std_logic_1164.all;
9
library commonlib;
10
use commonlib.types_common.all;
11
library tech;
12
use tech.RAMLIB_80_COMPONENTS.all;
13
 
14
entity RomPrn_micron180 is
15
  port (
16
    i_clk       : in std_logic;
17
    i_address   : in std_logic_vector(12 downto 0);
18
    o_data      : out std_logic_vector(31 downto 0)
19
  );
20
end;
21
 
22
architecture rtl of RomPrn_micron180 is
23
 
24
 
25
begin
26
 
27
 
28
   m180 : ROMD_8192x32m8d4_R0_M4_ns port map
29
      (Q   => o_data,
30
       CK  => i_clk,
31
       CSN => '0',
32
       OEN => '0',
33
       A   => i_address);
34
 
35
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.