OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [mem/] [romprn_tech.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright  Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author     Sergey Khabarov - sergeykhbr@gmail.com
5
--! @brief      Technology specific Galileo PRN ROM codes
6
------------------------------------------------------------------------------
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
library commonlib;
11
use commonlib.types_common.all;
12
library techmap;
13
use techmap.gencomp.all;
14
use techmap.types_mem.all;
15
 
16
entity RomPrn_tech is
17
generic (
18
    generic_tech    : integer := 0
19
);
20
port (
21
    i_clk       : in std_logic;
22
    i_address   : in std_logic_vector(12 downto 0);
23
    o_data      : out std_logic_vector(31 downto 0)
24
);
25
end;
26
 
27
architecture rtl of RomPrn_tech is
28
 
29
component RomPrn_inferred is
30
  port (
31
    clk     : in  std_ulogic;
32
    inAdr   : in  std_logic_vector(12 downto 0);
33
    outData : out std_logic_vector(31 downto 0)
34
  );
35
end component;
36
 
37
component RomPrn_micron180 is
38
  port (
39
    i_clk       : in std_logic;
40
    i_address   : in std_logic_vector(12 downto 0);
41
    o_data      : out std_logic_vector(31 downto 0)
42
  );
43
end component;
44
 
45
 
46
begin
47
 
48
  genrom0 : if generic_tech = inferred or is_fpga(generic_tech) /= 0 generate
49
      romprn_infer : RomPrn_inferred port map
50
      (
51
        i_clk,
52
        i_address,
53
        o_data
54
      );
55
  end generate;
56
  genrom1 : if generic_tech = micron180 generate
57
      romprn_micr : RomPrn_micron180 port map
58
      (
59
        i_clk,
60
        i_address,
61
        o_data
62
      );
63
  end generate;
64
end;
65
 
66
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.