OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [pll/] [SysPLL_inferred.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author    Sergey Khabarov - sergeykhbr@gmail.com
5
--! @details    PLL instance for the behaviour simulation
6
--!
7
--! "Output    Output      Phase     Duty      Pk-to-Pk        Phase"
8
--! "Clock    Freq (MHz) (degrees) Cycle (%) Jitter (ps)  Error (ps)"
9
--!
10
--! CLK_OUT1____70.000
11
-----------------------------------------------------------------------------
12
 
13
library ieee;
14
use ieee.std_logic_1164.all;
15
library commonlib;
16
use commonlib.types_common.all;
17
 
18
--library unisim;
19
--use unisim.vcomponents.all;
20
 
21
entity SysPLL_inferred is
22
port
23
 (-- Clock in ports
24
  CLK_IN            : in     std_logic;
25
  -- Clock out ports
26
  CLK_OUT1          : out    std_logic;
27
  -- Status and control signals
28
  RESET             : in     std_logic;
29
  LOCKED            : out    std_logic
30
 );
31
end SysPLL_inferred;
32
 
33
architecture rtl of SysPLL_inferred is
34
 
35
begin
36
 
37
  CLK_OUT1 <= CLK_IN;
38
  LOCKED <= not RESET;
39
 
40
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.