OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [pll/] [SysPLL_micron180.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
--
2
------------------------------------------------------------------------------
3
-- "Output    Output      Phase     Duty      Pk-to-Pk        Phase"
4
-- "Clock    Freq (MHz) (degrees) Cycle (%) Jitter (ps)  Error (ps)"
5
------------------------------------------------------------------------------
6
-- CLK_OUT1____70.000
7
--
8
 
9
library ieee;
10
use ieee.std_logic_1164.all;
11
use ieee.std_logic_unsigned.all;
12
use ieee.std_logic_arith.all;
13
use ieee.numeric_std.all;
14
 
15
 
16
entity SysPLL_micron180 is
17
port
18
 (
19
  CLK_IN            : in     std_logic;
20
  -- Clock out ports
21
  CLK_OUT1          : out    std_logic;
22
  CLK_OUT2          : out    std_logic;
23
  -- Status and control signals
24
  RESET             : in     std_logic;
25
  LOCKED            : out    std_logic
26
 );
27
end SysPLL_micron180;
28
 
29
architecture rtl of SysPLL_micron180 is
30
 
31
begin
32
 
33
  CLK_OUT1 <= CLK_IN;
34
  LOCKED <= not RESET;
35
 
36
 
37
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.