OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [pll/] [clkp90_v6.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author    Sergey Khabarov - sergeykhbr@gmail.com
5
--! @brief     Clock phase offset generator (90 deg) for FPGA Virtex6.
6
------------------------------------------------------------------------------
7
 
8
--! Standard library
9
library ieee;
10
use ieee.std_logic_1164.all;
11
library unisim;
12
use unisim.vcomponents.all;
13
 
14
entity clkp90_virtex6 is port (
15
    i_clk    : in std_logic;
16
    o_clk    : out std_logic;
17
    o_clkp90 : out std_logic
18
);
19
end clkp90_virtex6;
20
 
21
architecture rtl of clkp90_virtex6 is
22
   signal clk_buf : std_logic;
23
begin
24
 
25
   x0 : BUFG port map (
26
       O => clk_buf,
27
       I => i_clk
28
   );
29
 
30
   x1 : ODDR port map (
31
      Q => o_clkp90,
32
      C => clk_buf,
33
      CE => '1',
34
      D1 => '0',
35
      D2 => '1',
36
      R => '0',
37
      S => '0'
38
   );
39
 
40
   o_clk <= clk_buf;
41
 
42
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.