OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [rtl/] [techmap/] [pll/] [types_pll.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 sergeykhbr
-----------------------------------------------------------------------------
2
--! @file
3
--! @copyright Copyright 2015 GNSS Sensor Ltd. All right reserved.
4
--! @author    Sergey Khabarov - sergeykhbr@gmail.com
5
--! @brief     Components declaration of the types_pll package.
6
------------------------------------------------------------------------------
7
 
8
--! Standard library
9
library ieee;
10
use ieee.std_logic_1164.all;
11
use ieee.numeric_std.all;
12
--! Target names declaration
13
library techmap;
14
use techmap.gencomp.all;
15
 
16
--! @brief Declaration of 'virtual' PLL components
17
package types_pll is
18
 
19
  --! @brief   Declaration of the "virtual" PLL component.
20
  --! @details This module instantiates the certain PLL implementation
21
  --!          depending generic argument.
22
  --! @param[in] tech Generic PLL implementation selector
23
  --! @param[in] i_reset Reset value. Active high.
24
  --! @param[in] i_clk_tcxo Input clock from the external oscillator (default 200 MHz)
25
  --! @param[out] o_clk_bus System Bus clock 100MHz/40MHz (Virtex6/Spartan6)
26
  --! @param[out] o_locked PLL locked status.
27
  component SysPLL_tech is
28
    generic(
29
      tech    : integer range 0 to NTECH := 0
30
    );
31
    port (
32
    i_reset           : in     std_logic;
33
    i_clk_tcxo        : in     std_logic;
34
    o_clk_bus         : out    std_logic;
35
    o_locked          : out    std_logic );
36
  end component;
37
 
38
  --! @brief   Virtual Clock phase rotator.
39
  --! @param[in] tech Technology selector.
40
  --! @param[in] freq Clock frequency in KHz.
41
  --! @param[in] i_rst Reset signal. Active HIGH.
42
  component clkp90_tech is
43
  generic (
44
    tech    : integer range 0 to NTECH := 0;
45
    freq    : integer := 125000
46
  );
47
  port (
48
    i_rst    : in  std_logic;
49
    i_clk    : in  std_logic;
50
    o_clk    : out std_logic;
51
    o_clkp90 : out std_logic;
52
    o_clk2x  : out std_logic;
53
    o_lock   : out std_logic
54
  );
55
  end component;
56
 
57
 
58
  --! @}
59
end;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.