OpenCores
URL https://opencores.org/ocsvn/robust_axi_fabric/robust_axi_fabric/trunk

Subversion Repositories robust_axi_fabric

[/] [robust_axi_fabric/] [trunk/] [src/] [base/] [ic.v] - Blame information for rev 7

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 7 eyalhoc
<##//////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
//////////////////////////////////////////////////////////////////##>
29
 
30 2 eyalhoc
OUTFILE PREFIX_ic.v
31
INCLUDE def_ic.txt
32
ITER MX
33
 
34
ITER SX SLAVE_NUM ##external slave ports don't include decerr slave
35
 
36
module  PREFIX_ic (PORTS);
37
 
38
   input                                      clk;
39
   input                                      reset;
40
 
41
   port                                       MMX_GROUP_IC_AXI;
42
   revport                                    SSX_GROUP_IC_AXI;
43
ENDITER SX
44
ITER SX ##use global iterator
45
 
46
   wire [EXPR(SLV_BITS-1):0]                   MMX_AWSLV;
47
   wire [EXPR(SLV_BITS-1):0]                   MMX_ARSLV;
48
 
49
   wire [EXPR(MSTR_BITS-1):0]                  SSX_AWMSTR;
50
   wire [EXPR(MSTR_BITS-1):0]                  SSX_ARMSTR;
51
   wire                                       SSX_AWIDOK;
52
   wire                                       SSX_ARIDOK;
53
 
54
 
55
   CREATE ic_addr.v def_ic.txt
56
   PREFIX_ic_addr
57
   PREFIX_ic_addr_rd (.clk(clk),
58
                      .reset(reset),
59
                      .MMX_ASLV(MMX_ARSLV),
60
                      .MMX_AGROUP_IC_AXI_A(MMX_ARGROUP_IC_AXI_A),
61
                      .SSX_AMSTR(SSX_ARMSTR),
62
                      .SSX_AIDOK(SSX_ARIDOK),
63
                      .SSX_AGROUP_IC_AXI_A(SSX_ARGROUP_IC_AXI_A),
64
                      STOMP ,
65
                      );
66
 
67
 
68
   PREFIX_ic_addr
69
   PREFIX_ic_addr_wr (
70
                      .clk(clk),
71
                      .reset(reset),
72
                      .MMX_ASLV(MMX_AWSLV),
73
                      .MMX_AGROUP_IC_AXI_A(MMX_AWGROUP_IC_AXI_A),
74
                      .SSX_AMSTR(SSX_AWMSTR),
75
                      .SSX_AIDOK(SSX_AWIDOK),
76
                      .SSX_AGROUP_IC_AXI_A(SSX_AWGROUP_IC_AXI_A),
77
                      STOMP ,
78
                      );
79
 
80
 
81
   CREATE ic_resp.v def_ic.txt DEFCMD(SWAP CONST(RW) R)
82
   PREFIX_ic_resp
83
   PREFIX_ic_rresp (
84
                    .clk(clk),
85
                    .reset(reset),
86
                    .MMX_AGROUP_IC_AXI_CMD(MMX_ARGROUP_IC_AXI_CMD),
87
                    .MMX_GROUP_IC_AXI_R(MMX_RGROUP_IC_AXI_R),
88
                    .SSX_GROUP_IC_AXI_R(SSX_RGROUP_IC_AXI_R),
89
                    STOMP ,
90
                    );
91
 
92
 
93
   CREATE ic_wdata.v def_ic.txt
94
   PREFIX_ic_wdata
95
   PREFIX_ic_wdata (
96
                    .clk(clk),
97
                    .reset(reset),
98
                    .MMX_AWGROUP_IC_AXI_CMD(MMX_AWGROUP_IC_AXI_CMD),
99
                    .MMX_WGROUP_IC_AXI_W(MMX_WGROUP_IC_AXI_W),
100
                    .SSX_WGROUP_IC_AXI_W(SSX_WGROUP_IC_AXI_W),
101
                .SSX_AWVALID(SSX_AWVALID),
102
                .SSX_AWREADY(SSX_AWREADY),
103
                    .SSX_AWMSTR(SSX_AWMSTR),
104
                    STOMP ,
105
                    );
106
 
107
 
108
   CREATE ic_resp.v def_ic.txt DEFCMD(SWAP CONST(RW) W)
109
   PREFIX_ic_resp
110
   PREFIX_ic_bresp (
111
                    .clk(clk),
112
                    .reset(reset),
113
                    .MMX_AGROUP_IC_AXI_CMD(MMX_AWGROUP_IC_AXI_CMD),
114
                    .MMX_GROUP_IC_AXI_B(MMX_BGROUP_IC_AXI_B),
115
                    .MMX_DATA(),
116
                    .MMX_LAST(),
117
                    .SSX_GROUP_IC_AXI_B(SSX_BGROUP_IC_AXI_B),
118
                    .SSX_DATA({DATA_BITS{1'b0}}),
119
                    .SSX_LAST(1'b1),
120
                    STOMP ,
121
                    );
122
 
123
 
124
   IFDEF DEF_DECERR_SLV
125
     wire            SSERR_GROUP_IC_AXI;
126
 
127
   CREATE ic_decerr.v def_ic.txt
128
   PREFIX_ic_decerr
129
     PREFIX_ic_decerr (
130
                       .clk(clk),
131
                       .reset(reset),
132
                       .AWIDOK(SSERR_AWIDOK),
133
                       .ARIDOK(SSERR_ARIDOK),
134
                       .GROUP_IC_AXI(SSERR_GROUP_IC_AXI),
135
                       STOMP ,
136
                       );
137
   ENDIF DEF_DECERR_SLV
138
 
139
 
140
     endmodule
141
 
142
 
143
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.