OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8Add_testbench.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
`timescale 1ns / 10 ps
2
 
3
module GF8Add_testbench;
4
 
5
  reg clk_i,rst_i;
6
  wire [7:0] add_o;
7
  reg [7:0]  add_i1,add_i2;
8
  reg [126*7:0]  path,input_file,output_file;
9
  integer    fd_in,fd_out;
10
 
11
GF8Add DUT(.clk_i(clk_i),.rst_i(rst_i),
12
  .add_i1(add_i1),
13
  .add_i2(add_i2),
14
  .add_o(add_o));
15
 
16
always
17
#5 clk_i = !clk_i;
18
  initial begin
19
    path = "./";
20
    input_file = "input_file_GF8Add.dat";
21
    output_file = "output_file_GF8Add.dat";
22
    fd_in = $fopen(input_file,"r");
23
    fd_out = $fopen(output_file,"w");
24
 
25
    clk_i = 0;
26
    rst_i = 1;
27
    #10 rst_i = 0;
28
 
29
   while(!$feof(fd_in))
30
     begin
31
       @(negedge clk_i);
32
         $fscanf(fd_in,"%d %d\n ",add_i1,add_i2);
33
         $fwrite(fd_out,"%d\n ",add_o);
34
     end
35
  end // initial begin
36
 
37
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.