OpenCores
URL https://opencores.org/ocsvn/rs_encoder_decoder/rs_encoder_decoder/trunk

Subversion Repositories rs_encoder_decoder

[/] [rs_encoder_decoder/] [rtl/] [GF8GenMult_testbench.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 farooq21
`timescale 1ns / 10 ps
2
 
3
module GF8GenMult_testbench;
4
 
5
  reg  clk_i,rst_i;
6
  wire [7:0] mult_o;
7
  reg [7:0]  mult_i1,mult_i2;
8
  reg [126*7:0]  path,input_file,output_file;
9
   integer   fd_in,fd_out;
10
 
11
GF8GenMult DUT(
12
  .mult_i1(mult_i1),
13
  .mult_i2(mult_i2),
14
  .mult_o(mult_o));
15
 
16
 
17
always
18
#5 clk_i = !clk_i;
19
  initial begin
20
    path = "./";
21
    input_file = "input_file_GF8Mult.dat";
22
    output_file = "output_file_GF8Mult.dat";
23
    fd_in = $fopen(input_file,"r");
24
    fd_out = $fopen(output_file,"w");
25
 
26
    clk_i = 0;
27
    rst_i = 1;
28
    #10 rst_i = 0;
29
 
30
   while(!$feof(fd_in))
31
     begin
32
       @(negedge clk_i);
33
         $fscanf(fd_in,"%d %d\n",mult_i1,mult_i2);
34
         $fwrite(fd_out,"%d\n",mult_o);
35
     end
36
  end // initial begin
37
 
38
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.